OpenCores
URL https://opencores.org/ocsvn/statled/statled/trunk

Subversion Repositories statled

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /statled
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/trunk/rtl/statled.v
1,11 → 1,41
//////////////////////////////////////////////////////////////////////
//// statled.v ////
//// ////
//// This file is part of the Status LED module. ////
//// http://www.opencores.org/projects/statled/ ////
//// ////
//// Author: ////
//// -Dimitar Dimitrov, d.dimitrov@bitlocker.eu ////
//// ////
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2010 Authors ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
 
`timescale 1ns / 100ps
/******************************************************************************
* Status LED module
*
* Use single LED ouput to displays various internal states as blink codes.
* http://www.opencores.org/cores/statled
*
******************************************************************************/
 
module statled (
input clk,
input rst,
22,11 → 52,11
reg [3:0] str; // Status register
wire rate; // LED rate
 
//-----------------------------------------------------------------------------
//--------------------------------------------------------------------
// LED rate
//
always @(posedge clk or posedge rst)
if (rst)
if (rst)
pre <= #tDLY 0;
else if (rate)
pre <= #tDLY 0;
35,7 → 65,7
 
assign rate = (pre == STATLED_PULSE_CLKCNT);
 
//-----------------------------------------------------------------------------
//--------------------------------------------------------------------
// Capture status inputs
//
always @(posedge clk or posedge rst)
44,7 → 74,7
else
str <= #tDLY status;
 
//-----------------------------------------------------------------------------
//--------------------------------------------------------------------
// Shift register and bit counter
//
always @(posedge clk or posedge rst)
65,7 → 95,7
 
assign led = rst? 1 : lsr[15];
 
//-----------------------------------------------------------------------------
//--------------------------------------------------------------------
// Codes
//
always @*
/trunk/rtl/statled_par.v
1,34 → 1,62
/******************************************************************************
* Status LED module
*
* This is the configuration file for the statled module
* http://www.opencores.org/cores/statled
*
******************************************************************************/
//////////////////////////////////////////////////////////////////////
//// statled_par.v ////
//// ////
//// This file is part of the Status LED module. ////
//// http://www.opencores.org/projects/statled/ ////
//// ////
//// Author: ////
//// -Dimitar Dimitrov, d.dimitrov@bitlocker.eu ////
//// ////
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2010 Authors ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
 
/******************************************************************************
/*********************************************************************
* Clock to ouput used in simulation
******************************************************************************/
*********************************************************************/
parameter tDLY = 1;
 
/******************************************************************************
/*********************************************************************
* Clock speed, MHz
******************************************************************************/
*********************************************************************/
parameter STATLED_CLK = 50_000_000;
 
/******************************************************************************
/*********************************************************************
* LED pulse width, ms
******************************************************************************/
*********************************************************************/
parameter STATLED_PULSE_MS = 225;
 
/******************************************************************************
/*********************************************************************
* Number of clocks per pulse width
******************************************************************************/
*********************************************************************/
parameter STATLED_PULSE_CLKCNT = STATLED_CLK/1000 * STATLED_PULSE_MS;
 
/******************************************************************************
/*********************************************************************
* Codes
******************************************************************************/
*********************************************************************/
parameter CODE_ONE = 16'b10_00_00_00_00_00_00_00;
parameter CODE_TWO = 16'b10_10_00_00_00_00_00_00;
parameter CODE_THREE = 16'b10_10_10_00_00_00_00_00;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.