OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t400/trunk/bench/vhdl
    from Rev 176 to Rev 179
    Reverse comparison

Rev 176 → Rev 179

/tb_t421-c.vhd
2,7 → 2,7
--
-- Testbench for the T421 system toplevel.
--
-- $Id: tb_t421-c.vhd,v 1.1 2006-06-11 13:49:50 arniml Exp $
-- $Id$
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
25,10 → 25,3
end for;
 
end tb_t421_behav_c0;
 
 
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
tb_t421-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_int-c.vhd =================================================================== --- tb_int-c.vhd (revision 176) +++ tb_int-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for interrupt evaluation. -- --- $Id: tb_int-c.vhd,v 1.2 2006-06-05 14:42:50 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,13 +25,3 @@ end for; end tb_int_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/05/27 19:08:21 arniml --- initial check-in --- --------------------------------------------------------------------------------
tb_int-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_pack-p.vhd =================================================================== --- tb_pack-p.vhd (revision 176) +++ tb_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: tb_pack-p.vhd,v 1.3 2006-05-27 19:09:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -37,16 +37,3 @@ signal tb_sa_s : pc_t; end tb_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/23 01:17:25 arniml --- drive IN port --- --- Revision 1.1 2006/05/15 21:55:27 arniml --- initial check-in --- --------------------------------------------------------------------------------
tb_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: lpm_rom.vhd =================================================================== --- lpm_rom.vhd (revision 176) +++ lpm_rom.vhd (revision 179)
lpm_rom.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_microbus.vhd =================================================================== --- tb_microbus.vhd (revision 176) +++ tb_microbus.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for MICROBUS evaluation. -- --- $Id: tb_microbus.vhd,v 1.1 2006-06-05 21:04:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -262,10 +262,3 @@ end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_microbus.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t410_rom-lpm-a.vhd =================================================================== --- t410_rom-lpm-a.vhd (revision 176) +++ t410_rom-lpm-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 ROM wrapper for lpm_rom. -- --- $Id: t410_rom-lpm-a.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -87,10 +87,3 @@ ); end lpm; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t410_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_prod-c.vhd =================================================================== --- tb_prod-c.vhd (revision 176) +++ tb_prod-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the production test. -- --- $Id: tb_prod-c.vhd,v 1.1 2006-06-10 18:50:51 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_prod_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_prod-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t420_rom-lpm-a.vhd =================================================================== --- t420_rom-lpm-a.vhd (revision 176) +++ t420_rom-lpm-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 ROM wrapper for lpm_rom. -- --- $Id: t420_rom-lpm-a.vhd,v 1.1 2006-05-14 22:31:08 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -87,10 +87,3 @@ ); end lpm; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t420_rom-lpm-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_elems-c.vhd =================================================================== --- tb_elems-c.vhd (revision 176) +++ tb_elems-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Generic testbench elements -- --- $Id: tb_elems-c.vhd,v 1.1 2006-05-15 21:55:27 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end tb_elems_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_elems-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: lpm_ram_dq.vhd =================================================================== --- lpm_ram_dq.vhd (revision 176) +++ lpm_ram_dq.vhd (revision 179)
lpm_ram_dq.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t410_rom-lpm-c.vhd =================================================================== --- t410_rom-lpm-c.vhd (revision 176) +++ t410_rom-lpm-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 ROM wrapper for lpm_rom. -- --- $Id: t410_rom-lpm-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -21,10 +21,3 @@ end for; end t410_rom_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t410_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t420_rom-lpm-c.vhd =================================================================== --- t420_rom-lpm-c.vhd (revision 176) +++ t420_rom-lpm-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 ROM wrapper for lpm_rom. -- --- $Id: t420_rom-lpm-c.vhd,v 1.1 2006-05-14 22:31:08 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -21,10 +21,3 @@ end for; end t420_rom_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t420_rom-lpm-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t410.vhd =================================================================== --- tb_t410.vhd (revision 176) +++ tb_t410.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T410 system toplevel. -- --- $Id: tb_t410.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -124,28 +124,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/05 18:50:45 arniml --- remove obsolete en_clk_s --- --- Revision 1.5 2006/05/27 19:10:12 arniml --- explicitly select clock divider 8 --- --- Revision 1.4 2006/05/23 01:18:26 arniml --- consider IN port --- --- Revision 1.3 2006/05/15 21:56:02 arniml --- moved elements to separate design unit tb_elems --- --- Revision 1.2 2006/05/06 13:34:25 arniml --- remove delta cycle filter on sk_s --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
tb_t410.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t420.vhd =================================================================== --- tb_t420.vhd (revision 176) +++ tb_t420.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T420 system toplevel. -- --- $Id: tb_t420.vhd,v 1.5 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -129,22 +129,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/27 19:10:20 arniml --- explicitly select clock divider 4 --- --- Revision 1.3 2006/05/24 00:48:49 arniml --- connect cko_i to bit 2 of IN bus --- --- Revision 1.2 2006/05/23 01:18:10 arniml --- consider CKO and IN port --- --- Revision 1.1 2006/05/15 22:21:59 arniml --- initial check-in --- --------------------------------------------------------------------------------
tb_t420.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t411.vhd =================================================================== --- tb_t411.vhd (revision 176) +++ tb_t411.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T411 system toplevel. -- --- $Id: tb_t411.vhd,v 1.6 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -124,25 +124,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/05/27 19:10:12 arniml --- explicitly select clock divider 8 --- --- Revision 1.4 2006/05/23 01:18:26 arniml --- consider IN port --- --- Revision 1.3 2006/05/15 21:56:02 arniml --- moved elements to separate design unit tb_elems --- --- Revision 1.2 2006/05/06 13:34:25 arniml --- remove delta cycle filter on sk_s --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
tb_t411.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_int.vhd =================================================================== --- tb_int.vhd (revision 176) +++ tb_int.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for interrupt evaluation. -- --- $Id: tb_int.vhd,v 1.5 2006-06-05 18:50:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -189,22 +189,3 @@ (others => 'H'); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/28 23:09:20 arniml --- lower nibble is OD to prevent contention with testbench --- --- Revision 1.3 2006/05/28 15:36:59 arniml --- don't generate interrupt when in interrupt routine around 0x100 --- --- Revision 1.2 2006/05/28 02:53:47 arniml --- provide SA at L port --- --- Revision 1.1 2006/05/27 19:08:21 arniml --- initial check-in --- --------------------------------------------------------------------------------
tb_int.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t421.vhd =================================================================== --- tb_t421.vhd (revision 176) +++ tb_t421.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T421 system toplevel. -- --- $Id: tb_t421.vhd,v 1.1 2006-06-11 13:49:50 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -128,10 +128,3 @@ ); end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_t421.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_microbus-c.vhd =================================================================== --- tb_microbus-c.vhd (revision 176) +++ tb_microbus-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for MICROBUS evaluation. -- --- $Id: tb_microbus-c.vhd,v 1.1 2006-06-05 21:04:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_microbus_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_microbus-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_prod.vhd =================================================================== --- tb_prod.vhd (revision 176) +++ tb_prod.vhd (revision 179) @@ -6,7 +6,7 @@ -- COP Note 7 -- April 1991 -- --- $Id: tb_prod.vhd,v 1.1 2006-06-10 18:50:51 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -442,10 +442,3 @@ ----------------------------------------------------------------------------- end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_prod.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_elems.vhd =================================================================== --- tb_elems.vhd (revision 176) +++ tb_elems.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Generic testbench elements -- --- $Id: tb_elems.vhd,v 1.6 2006-05-27 22:48:00 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -304,25 +304,3 @@ end process clk; end behav; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/05/27 19:08:53 arniml --- add fail reporting for port d --- --- Revision 1.4 2006/05/23 01:17:25 arniml --- drive IN port --- --- Revision 1.3 2006/05/18 00:24:18 arniml --- extend D-port checks --- --- Revision 1.2 2006/05/17 00:47:45 arniml --- enhance G-port check for T420 --- --- Revision 1.1 2006/05/15 21:55:27 arniml --- initial check-in --- --------------------------------------------------------------------------------
tb_elems.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t410-c.vhd =================================================================== --- tb_t410-c.vhd (revision 176) +++ tb_t410-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T410 system toplevel. -- --- $Id: tb_t410-c.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_t410_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_t410-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t411-c.vhd =================================================================== --- tb_t411-c.vhd (revision 176) +++ tb_t411-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T411 system toplevel. -- --- $Id: tb_t411-c.vhd,v 1.2 2006-05-15 21:56:02 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,13 +25,3 @@ end for; end tb_t411_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
tb_t411-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: tb_t420-c.vhd =================================================================== --- tb_t420-c.vhd (revision 176) +++ tb_t420-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Testbench for the T420 system toplevel. -- --- $Id: tb_t420-c.vhd,v 1.1 2006-05-15 22:21:59 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -25,10 +25,3 @@ end for; end tb_t420_behav_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
tb_t420-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.