OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t400/trunk/rtl/vhdl
    from Rev 176 to Rev 179
    Reverse comparison

Rev 176 → Rev 179

/t400_io_in.vhd
2,7 → 2,7
--
-- The IN port controller.
--
-- $Id: t400_io_in.vhd,v 1.3 2006-05-27 19:14:18 arniml Exp $
-- $Id$
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
164,18 → 164,3
int_o <= int_icyc_q;
 
end rtl;
 
 
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.2 2006/05/23 01:13:28 arniml
-- + reset neg_edge flip-flops to '1'
-- -> after por, a 1-to-0 edge is required to trigger the latches initially
-- + use to_X01
--
-- Revision 1.1 2006/05/22 00:00:55 arniml
-- initial check-in
--
-------------------------------------------------------------------------------
t400_io_in.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410_notri.vhd =================================================================== --- system/t410_notri.vhd (revision 176) +++ system/t410_notri.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T410/411 controller toplevel without tri-states. -- --- $Id: t410_notri.vhd,v 1.4 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -226,19 +225,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/05 20:03:11 arniml --- include generic_ram_ena --- --- Revision 1.2 2006/05/08 02:36:38 arniml --- hand-down clock divider option --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
system/t410_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t400_system_comp_pack-p.vhd =================================================================== --- system/t400_system_comp_pack-p.vhd (revision 176) +++ system/t400_system_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_system_comp_pack-p.vhd,v 1.6 2006-06-11 22:18:52 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -250,26 +250,3 @@ end component; end t400_system_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.5 2006/06/11 13:48:13 arniml --- * t421 added --- * fixed generic list for t420 and t420_notri --- --- Revision 1.4 2006/06/05 20:02:46 arniml --- use microbus generic --- --- Revision 1.3 2006/05/23 01:16:19 arniml --- routi CKO to t400_core --- --- Revision 1.2 2006/05/14 22:29:33 arniml --- t420 hierarchies added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
system/t400_system_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420_notri.vhd =================================================================== --- system/t420_notri.vhd (revision 176) +++ system/t420_notri.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T420/421 controller toplevel without tri-states. -- --- $Id: t420_notri.vhd,v 1.4 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -225,19 +224,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/11 13:48:43 arniml --- hand generic opt_type_g down to t400_core --- --- Revision 1.2 2006/06/05 20:03:52 arniml --- connect microbus generic and include generic_ram_ena --- --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
system/t420_notri.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410_rom-struct-a.vhd =================================================================== --- system/t410_rom-struct-a.vhd (revision 176) +++ system/t410_rom-struct-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 ROM. -- --- $Id: t410_rom-struct-a.vhd,v 1.1 2006-06-05 22:44:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,10 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t410_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420_rom-struct-a.vhd =================================================================== --- system/t420_rom-struct-a.vhd (revision 176) +++ system/t420_rom-struct-a.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 ROM. -- --- $Id: t420_rom-struct-a.vhd,v 1.1 2006-06-05 22:44:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -63,10 +63,3 @@ ); end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t420_rom-struct-a.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410-c.vhd =================================================================== --- system/t410-c.vhd (revision 176) +++ system/t410-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410 system toplevel. -- --- $Id: t410-c.vhd,v 1.1 2006-06-11 22:18:28 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t410_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t410-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t411-c.vhd =================================================================== --- system/t411-c.vhd (revision 176) +++ system/t411-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T411 system toplevel. -- --- $Id: t411-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t411_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t411-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420-c.vhd =================================================================== --- system/t420-c.vhd (revision 176) +++ system/t420-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420 system toplevel. -- --- $Id: t420-c.vhd,v 1.1 2006-05-14 22:29:01 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t420_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t420-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t421-c.vhd =================================================================== --- system/t421-c.vhd (revision 176) +++ system/t421-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T421 system toplevel. -- --- $Id: t421-c.vhd,v 1.1 2006-06-11 13:47:24 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -54,10 +54,3 @@ end for; end t421_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t421-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410_notri-c.vhd =================================================================== --- system/t410_notri-c.vhd (revision 176) +++ system/t410_notri-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 controller toplevel without tri-states. -- --- $Id: t410_notri-c.vhd,v 1.3 2006-06-05 20:03:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -33,16 +33,3 @@ end for; end t410_notri_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/07 01:49:03 arniml --- renamed t400_por configuration to rtl --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
system/t410_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420_notri-c.vhd =================================================================== --- system/t420_notri-c.vhd (revision 176) +++ system/t420_notri-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 controller toplevel without tri-states. -- --- $Id: t420_notri-c.vhd,v 1.2 2006-06-05 20:03:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -33,13 +33,3 @@ end for; end t420_notri_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
system/t420_notri-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410_rom-e.vhd =================================================================== --- system/t410_rom-e.vhd (revision 176) +++ system/t410_rom-e.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T410/411 ROM. -- --- $Id: t410_rom-e.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t410_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t410_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t410.vhd =================================================================== --- system/t410.vhd (revision 176) +++ system/t410.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T410 system toplevel. -- --- $Id: t410.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -187,13 +186,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/06/11 22:18:28 arniml --- initial check-in --- --------------------------------------------------------------------------------
system/t410.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420_rom-e.vhd =================================================================== --- system/t420_rom-e.vhd (revision 176) +++ system/t420_rom-e.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T420/421 ROM. -- --- $Id: t420_rom-e.vhd,v 1.1 2006-05-14 22:29:01 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -55,10 +55,3 @@ ); end t420_rom; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
system/t420_rom-e.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t411.vhd =================================================================== --- system/t411.vhd (revision 176) +++ system/t411.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T411 system toplevel. -- --- $Id: t411.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -185,13 +184,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
system/t411.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t420.vhd =================================================================== --- system/t420.vhd (revision 176) +++ system/t420.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T420 system toplevel. -- --- $Id: t420.vhd,v 1.8 2008-08-28 18:51:58 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -189,32 +188,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2008/08/23 11:19:20 arniml --- added name keyword --- --- Revision 1.6 2006/06/11 13:49:18 arniml --- * hand generic opt_type_g down to t420_notri --- * full generic list --- --- Revision 1.5 2006/06/05 20:04:11 arniml --- connect microbus generic --- --- Revision 1.4 2006/05/23 01:16:05 arniml --- routi CKO to t400_core --- --- Revision 1.3 2006/05/20 02:49:04 arniml --- select CK divide by 8 --- --- Revision 1.2 2006/05/17 00:38:31 arniml --- connect missing input direction for IO G --- --- Revision 1.1 2006/05/14 22:29:01 arniml --- initial check-in --- --------------------------------------------------------------------------------
system/t420.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: system/t421.vhd =================================================================== --- system/t421.vhd (revision 176) +++ system/t421.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T421 system toplevel. -- --- $Id: t421.vhd,v 1.2 2008-08-23 11:19:20 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -191,13 +190,3 @@ 'Z'; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1 2006/06/11 13:47:24 arniml --- initial check-in --- --------------------------------------------------------------------------------
system/t421.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_timer-c.vhd =================================================================== --- t400_timer-c.vhd (revision 176) +++ t400_timer-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The timer unit. -- --- $Id: t400_timer-c.vhd,v 1.1 2006-05-20 02:47:12 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_timer_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_timer-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_core_comp_pack-p.vhd =================================================================== --- t400_core_comp_pack-p.vhd (revision 176) +++ t400_core_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_core_comp_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -70,10 +70,3 @@ end component; end t400_core_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_core_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_reset-c.vhd =================================================================== --- t400_reset-c.vhd (revision 176) +++ t400_reset-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The reset generation unit. -- --- $Id: t400_reset-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_reset_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_reset-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_pmem_ctrl-c.vhd =================================================================== --- t400_pmem_ctrl-c.vhd (revision 176) +++ t400_pmem_ctrl-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Program memory controller. -- --- $Id: t400_pmem_ctrl-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_pmem_ctrl_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_pmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_pack-p.vhd =================================================================== --- t400_io_pack-p.vhd (revision 176) +++ t400_io_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_io_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -80,10 +80,3 @@ end io_en_f; end t400_io_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_io_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_dmem_ctrl.vhd =================================================================== --- t400_dmem_ctrl.vhd (revision 176) +++ t400_dmem_ctrl.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Data memory controller. -- --- $Id: t400_dmem_ctrl.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -242,10 +242,3 @@ b_o(bd_range_t) <= std_logic_vector(bd_q); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_dmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_skip.vhd =================================================================== --- t400_skip.vhd (revision 176) +++ t400_skip.vhd (revision 179) @@ -3,7 +3,7 @@ -- The skip unit. -- Skip conditions are checked here and communicated to the decoder unit. -- --- $Id: t400_skip.vhd,v 1.5 2006-06-05 14:20:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -215,22 +215,3 @@ skip_lbi_o <= skip_lbi_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.4 2006/05/28 15:35:33 arniml --- fix pop'ing of skip flag --- --- Revision 1.3 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.2 2006/05/20 02:47:52 arniml --- skip-on-timer implemented --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_skip.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_core-c.vhd =================================================================== --- t400_core-c.vhd (revision 176) +++ t400_core-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Microcontroller Core -- --- $Id: t400_core-c.vhd,v 1.3 2006-05-22 00:03:29 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -77,16 +77,3 @@ end for; end t400_core_struct_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_core-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_sio-c.vhd =================================================================== --- t400_sio-c.vhd (revision 176) +++ t400_sio-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The serial input/output unit. -- --- $Id: t400_sio-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_sio_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_sio-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_pack-p.vhd =================================================================== --- t400_pack-p.vhd (revision 176) +++ t400_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_pack-p.vhd,v 1.4 2008-05-01 19:51:47 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -117,19 +117,3 @@ SIO_LOAD); end t400_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.2 2006/05/22 00:01:21 arniml --- operations for IN port added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_timer.vhd =================================================================== --- t400_timer.vhd (revision 176) +++ t400_timer.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The timer unit. -- --- $Id: t400_timer.vhd,v 1.1 2006-05-20 02:47:12 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -113,10 +113,3 @@ c_o <= c_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_timer.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_reset.vhd =================================================================== --- t400_reset.vhd (revision 176) +++ t400_reset.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The reset generation unit. -- --- $Id: t400_reset.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -136,10 +136,3 @@ res_o <= res_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_reset.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_pmem_ctrl.vhd =================================================================== --- t400_pmem_ctrl.vhd (revision 176) +++ t400_pmem_ctrl.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Program memory controller. -- --- $Id: t400_pmem_ctrl.vhd,v 1.3 2006-05-28 15:32:40 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -181,16 +181,3 @@ pm_addr_o <= pc_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/27 19:16:52 arniml --- interrupt functionality added --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_pmem_ctrl.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_clkgen-c.vhd =================================================================== --- t400_clkgen-c.vhd (revision 176) +++ t400_clkgen-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The clock generation unit. -- PHI1 clock and input/output clock enables are generated here. -- --- $Id: t400_clkgen-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_clkgen_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_clkgen-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_stack-c.vhd =================================================================== --- t400_stack-c.vhd (revision 176) +++ t400_stack-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The stack unit. -- --- $Id: t400_stack-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_stack_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_stack-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_decoder-c.vhd =================================================================== --- t400_decoder-c.vhd (revision 176) +++ t400_decoder-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The decoder unit. -- Implements the instruction opcodes and controls all units of the T400 core. -- --- $Id: t400_decoder-c.vhd,v 1.2 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,13 +17,3 @@ end for; end t400_decoder_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_decoder-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_core.vhd =================================================================== --- t400_core.vhd (revision 176) +++ t400_core.vhd (revision 179) @@ -2,8 +2,7 @@ -- -- T400 Microcontroller Core -- --- $Id: t400_core.vhd,v 1.12 2008-08-23 11:19:17 arniml Exp $ --- $Name: not supported by cvs2svn $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -522,46 +521,3 @@ end generate; end struct; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.11 2008/05/01 19:51:12 arniml --- removed obsolete signals --- --- Revision 1.10 2006/06/11 13:34:39 arniml --- Fix bug: --- "Timer skipped in T421 configuration" --- The generate block that instantiates the timer module considers --- now t400_opt_type_421_x as well. --- --- Revision 1.9 2006/06/06 00:33:56 arniml --- remove note about limitations --- --- Revision 1.8 2006/06/05 20:34:21 arniml --- use dedicated microbus cs/rd/wr strobes --- --- Revision 1.7 2006/06/05 14:19:15 arniml --- connect microbus control signals to IO L --- --- Revision 1.6 2006/05/27 19:11:33 arniml --- updates for interrupt support --- --- Revision 1.5 2006/05/23 01:13:56 arniml --- use to_X01 for G input --- --- Revision 1.4 2006/05/22 00:03:29 arniml --- io_in added --- --- Revision 1.3 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_core.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_comp_pack-p.vhd =================================================================== --- t400_comp_pack-p.vhd (revision 176) +++ t400_comp_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_comp_pack-p.vhd,v 1.8 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -349,31 +349,3 @@ end component; end t400_comp_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.7 2006/06/05 20:31:56 arniml --- microbus support for IO G added --- --- Revision 1.6 2006/06/05 14:20:34 arniml --- interface comments added --- --- Revision 1.5 2006/05/27 19:11:33 arniml --- updates for interrupt support --- --- Revision 1.4 2006/05/22 00:03:08 arniml --- io_in added --- --- Revision 1.3 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.2 2006/05/20 02:48:17 arniml --- timer module included --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_d-c.vhd =================================================================== --- t400_io_d-c.vhd (revision 176) +++ t400_io_d-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The D port controller. -- --- $Id: t400_io_d-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_d_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_io_d-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_sio.vhd =================================================================== --- t400_sio.vhd (revision 176) +++ t400_sio.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The serial input/output unit. -- --- $Id: t400_sio.vhd,v 1.3 2006-05-07 02:24:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -287,16 +287,3 @@ dat => sk_s, opt => opt_sk_output_type_g); end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/06 13:34:58 arniml --- phi1_en_q is dedicated enable for PHI1 clock to suppress glitches on sk_o --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_sio.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_g-c.vhd =================================================================== --- t400_io_g-c.vhd (revision 176) +++ t400_io_g-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The G port controller. -- --- $Id: t400_io_g-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_g_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_io_g-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_opt_pack-p.vhd =================================================================== --- t400_opt_pack-p.vhd (revision 176) +++ t400_opt_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_opt_pack-p.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -36,10 +36,3 @@ constant t400_opt_microbus_c : integer := 1; end t400_opt_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_opt_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_mnemonic_pack-p.vhd =================================================================== --- t400_mnemonic_pack-p.vhd (revision 176) +++ t400_mnemonic_pack-p.vhd (revision 179) @@ -1,6 +1,6 @@ ------------------------------------------------------------------------------- -- --- $Id: t400_mnemonic_pack-p.vhd,v 1.1 2008-05-01 19:52:37 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2008, Arnim Laeuger (arniml@opencores.org) -- @@ -299,10 +299,3 @@ end; end t400_mnemonic_pack; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_mnemonic_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_alu-c.vhd =================================================================== --- t400_alu-c.vhd (revision 176) +++ t400_alu-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the accumulator and the C flag. -- --- $Id: t400_alu-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_alu_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_alu-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_l-c.vhd =================================================================== --- t400_io_l-c.vhd (revision 176) +++ t400_io_l-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The L port controller. -- --- $Id: t400_io_l-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_l_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_io_l-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_clkgen.vhd =================================================================== --- t400_clkgen.vhd (revision 176) +++ t400_clkgen.vhd (revision 179) @@ -3,7 +3,7 @@ -- The clock generation unit. -- PHI1 clock and input/output clock enables are generated here. -- --- $Id: t400_clkgen.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -140,10 +140,3 @@ in_en_o <= ck_en_i and ck_div_half_s; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_clkgen.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_stack.vhd =================================================================== --- t400_stack.vhd (revision 176) +++ t400_stack.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The stack unit. -- --- $Id: t400_stack.vhd,v 1.3 2006-06-11 02:12:11 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -140,16 +140,3 @@ pc_o <= sa_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/27 19:15:59 arniml --- instrument testbench --- --- Revision 1.1.1.1 2006/05/06 01:56:45 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_stack.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_decoder.vhd =================================================================== --- t400_decoder.vhd (revision 176) +++ t400_decoder.vhd (revision 179) @@ -3,7 +3,7 @@ -- The decoder unit. -- Implements the instruction opcodes and controls all units of the T400 core. -- --- $Id: t400_decoder.vhd,v 1.7 2008-05-01 19:49:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -856,28 +856,3 @@ en_o <= en_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.6 2006/06/05 14:20:34 arniml --- interface comments added --- --- Revision 1.5 2006/05/28 15:32:14 arniml --- execute virtual NOP at location 0x0ff when vectoring to interrupt routine --- --- Revision 1.4 2006/05/27 19:14:18 arniml --- interrupt functionality added --- --- Revision 1.3 2006/05/22 00:02:36 arniml --- instructions ININ and INIL implemented --- --- Revision 1.2 2006/05/07 02:24:16 arniml --- fix sensitivity list --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_decoder.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_d.vhd =================================================================== --- t400_io_d.vhd (revision 176) +++ t400_io_d.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The D port controller. -- --- $Id: t400_io_d.vhd,v 1.2 2006-05-07 02:24:16 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -152,13 +152,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_io_d.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_in-c.vhd =================================================================== --- t400_io_in-c.vhd (revision 176) +++ t400_io_in-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The IN port controller. -- --- $Id: t400_io_in-c.vhd,v 1.1 2006-05-22 00:00:55 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_io_in_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_io_in-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_g.vhd =================================================================== --- t400_io_g.vhd (revision 176) +++ t400_io_g.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The G port controller. -- --- $Id: t400_io_g.vhd,v 1.3 2006-06-05 20:32:34 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -167,16 +167,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/07 02:24:16 arniml --- fix sensitivity list --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_io_g.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_alu.vhd =================================================================== --- t400_alu.vhd (revision 176) +++ t400_alu.vhd (revision 179) @@ -3,7 +3,7 @@ -- The Arithmetic Logic Unit (ALU). -- It contains the accumulator and the C flag. -- --- $Id: t400_alu.vhd,v 1.3 2006-05-22 00:01:56 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -248,16 +248,3 @@ c_o <= c_q; end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.2 2006/05/21 21:47:40 arniml --- route cko to ALU for INIL instruction --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_alu.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_io_l.vhd =================================================================== --- t400_io_l.vhd (revision 176) +++ t400_io_l.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The L port controller. -- --- $Id: t400_io_l.vhd,v 1.4 2006-06-05 20:33:24 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) -- @@ -213,19 +213,3 @@ ----------------------------------------------------------------------------- end rtl; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.3 2006/06/05 14:21:21 arniml --- latch io_l_i upon input enable trigger --- --- Revision 1.2 2006/05/23 01:14:28 arniml --- use to_X01 for primary input bus --- --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
t400_io_l.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_skip-c.vhd =================================================================== --- t400_skip-c.vhd (revision 176) +++ t400_skip-c.vhd (revision 179) @@ -3,7 +3,7 @@ -- The skip unit. -- Skip conditions are checked here and communicated to the decoder unit. -- --- $Id: t400_skip-c.vhd,v 1.1.1.1 2006-05-06 01:56:45 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -17,10 +17,3 @@ end for; end t400_skip_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_skip-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: t400_dmem_ctrl-c.vhd =================================================================== --- t400_dmem_ctrl-c.vhd (revision 176) +++ t400_dmem_ctrl-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- The Data memory controller. -- --- $Id: t400_dmem_ctrl-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) -- @@ -16,10 +16,3 @@ end for; end t400_dmem_ctrl_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
t400_dmem_ctrl-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.