OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /uart2bus_testbench
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/trunk/tb/run_script.sh
1,4 → 1,8
rm -rf work
rm -rf *.log
rm -rf transcript
rm -rf vsim.wlf
rm -rf vsim_stacktrace.vstf
vlib work
#------------------------------
# BFMs Compiling
/trunk/tb/run_script_packeduvm.sh
1,4 → 1,8
rm -rf work
rm -rf *.log
rm -rf transcript
rm -rf vsim.wlf
rm -rf vsim_stacktrace.vstf
vlib work
#------------------------------
# BFMs Compiling
/trunk/tb/test/uart_test.svh
66,7 → 66,7
int matched ;
 
`ifdef UVM_1p2
uvm_default_report_server report_server;
uvm_default_report_server report_server;
`else
uvm_report_server report_server;
`endif
90,7 → 90,11
_config = uart_config::type_id::create("_config",this);
printer = new();
report_server = new("report_server");
`ifdef UVM_1p2
report_server = new("report_server");
`else
report_server = new();
`endif
env_configuration();
TE_configuration();
uvm_config_db#(uart_config)::set(this,"*","UART_CONFIGURATION",_config);
115,6 → 119,7
endfunction:env_configuration
 
function void TE_configuration();
report_server.set_server(report_server);
printer.knobs.depth = 3;
uvm_resource_db#(int)::set("Reporting","matched_packets",0,null);
uvm_root::get().set_timeout(10s);
128,9 → 133,9
function void report_phase (uvm_phase phase);
int num_errors;
string status;
num_errors = report_server.get_severity_count(UVM_INFO);
//num_errors = uvm_report_server.get_severity_count(UVM_ERROR);
num_errors = report_server.get_severity_count(UVM_ERROR);
uvm_resource_db#(int)::read_by_name("Reporting","matched_packets",matched);
if(num_errors == 0) status = "PASSED";
else status = "FAILED";
$display("--------------------------------------------------\n");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.