OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /uart2bus_testbench
    from Rev 18 to Rev 19
    Reverse comparison

Rev 18 → Rev 19

/trunk/tb/agent/transaction/uart_dashboard.svh
47,7 → 47,7
`uvm_field_int(two_binary_bursts_cov,UVM_ALL_ON)
`uvm_field_int(four_bursts_cov,UVM_ALL_ON)
 
`uvm_field_int(hit_text_cov,UVM_ALL_ON|UVM_NOPRINT|UVM_DEC)
`uvm_field_int(hit_text_cov,UVM_ALL_ON|UVM_NOPRINT)
`uvm_field_int(hit_bin_cov,UVM_ALL_ON|UVM_NOPRINT)
`uvm_field_int(hit_mode_cov,UVM_ALL_ON|UVM_NOPRINT)
`uvm_field_int(hit_two_bursts_cov,UVM_ALL_ON|UVM_NOPRINT)
60,16 → 60,17
pr.knobs.default_radix=UVM_DEC;
endfunction // new
 
function void set_cov_threshold(hit_text_cov,
hit_bin_cov,
hit_mode_cov,
hit_two_bursts_cov,
hit_four_bursts_cov);
function void set_cov_threshold(int hit_text_cov,
int hit_bin_cov,
int hit_mode_cov,
int hit_two_bursts_cov,
int hit_four_bursts_cov);
this.hit_text_cov = hit_text_cov;
this.hit_bin_cov = hit_bin_cov;
this.hit_mode_cov = hit_mode_cov;
this.hit_two_bursts_cov = hit_two_bursts_cov;
this.hit_four_bursts_cov = hit_four_bursts_cov;
print_thresholds();
endfunction // set_cov_threshold
 
function void evaluate_coverage();
81,4 → 82,15
hit_coverage = 1'b1;
endfunction // evaluate_coverage
 
virtual function void print_thresholds ();
$display("-------------------------------");
$display("hit_text_cov\t\t\t=%d",hit_text_cov);
$display("hit_bin_cov\t\t\t=%d",hit_bin_cov);
$display("hit_mode_cov\t\t\t=%d",hit_mode_cov);
$display("hit_two_bursts_cov\t\t=%d",hit_two_bursts_cov);
$display("hit_four_bursts_cov\t\t=%d",hit_four_bursts_cov);
$display("-------------------------------");
endfunction // print_thresholds
 
endclass
/trunk/tb/test/uart_test.svh
131,7 → 131,7
 
function void end_of_elaboration_phase (uvm_phase phase);
super.end_of_elaboration_phase(phase);
//dashboard.set_cov_threshold(80,80,80,80,80);
dashboard.set_cov_threshold(100,100,95,90,100);
endfunction // end_of_elaboration_phase
task run_phase (uvm_phase phase);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.