URL
https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk
Subversion Repositories uart2bus_testbench
Compare Revisions
- This comparison shows the changes necessary to convert path
/uart2bus_testbench
- from Rev 7 to Rev 8
- ↔ Reverse comparison
Rev 7 → Rev 8
/trunk/tb/agent/driver/uart_driver.svh
99,7 → 99,6
if(!uvm_config_db#(virtual uart_arbiter)::get(this,"","arb_inf",_config.arb_inf)) |
`uvm_fatal("NOVIF",{"virtual interface must be set for:",get_full_name(),".arb_inf"}) |
arb_inf=_config.arb_inf; |
|
initialize_bfms(_config._edge, |
_config._start, |
_config.use_false_data, |
120,6 → 119,7
parity_mode _paritymode, |
time _resp); |
uart_inf.set_configuration (_edge,_bit,num_stop_bits,num_of_bits,_datamode,_paritymode,_resp,enable); |
|
endfunction:initialize_bfms |
|
task uart_driver::run_phase (uvm_phase phase); |
/trunk/tb/interfaces/uart_interface.sv
286,7 → 286,6
temp = temp ^ data [index]; |
end |
end |
end |
else if (parity != `_parityoff) |
begin |
$error("un-configured parity"); |
894,7 → 893,6
$error("The captured acknowledge isn't as unified character"); |
end |
end |
|
endtask:write_binary_mode |
|
// This method is provided to initiate read request in UART binary mode. This task is accompli- |
989,8 → 987,6
tmp[1] = 1'b1; |
end |
else |
$error("undefined acknowledge request"); |
end |
begin |
$error("undefined increment request"); |
end |
1004,7 → 1000,9
tmp[0] = 1'b0; |
end |
else |
begin |
begin |
$error("undefined acknowledge request"); |
end |
push_field_serout(tmp); |
|
// Third byte : higher byte of address |
/trunk/tb/run.do
33,5 → 33,5
#----------------------------- |
# UART Top Testbench Simulation |
#------------------------------ |
vsim -novopt +coverage uart_top_tb |
run -all |
vsim -novopt +coverage uart_top_tb |
run -all |
/trunk/tb/test/uart_test.svh
79,6 → 79,7
|
printer = new(); |
printer.knobs.depth = 3; |
env_configuration(); |
endfunction:build_phase |
|
function void connect_phase (uvm_phase phase); |
85,8 → 86,7
super.connect_phase(phase); |
endfunction:connect_phase |
|
function void end_of_elaboration_phase (uvm_phase phase); |
super.end_of_elaboration_phase(phase); |
function void env_configuration (); |
_config._edge = pos_edge; |
_config._start = lsb; |
_config._datamode = ascii; |
95,7 → 95,7
_config._paritymode = parity_off; |
_config.response_time = 8680; |
_config.use_false_data= no; |
endfunction:end_of_elaboration_phase |
endfunction:env_configuration |
|
task run_phase (uvm_phase phase); |
phase.phase_done.set_drain_time(this,5000); |
/trunk/tb/uart_top.sv
104,7 → 104,9
uvm_config_db#(virtual rf_interface)::set(uvm_root::get(), "*", "rf_inf",rf_inf); |
|
uvm_config_db#(virtual uart_arbiter)::set(uvm_root::get(),"*","arb_inf",arb_inf); |
|
run_test("write_command_mode"); |
|
|
end |
|
endmodule:uart_top_tb |