OpenCores
URL https://opencores.org/ocsvn/verilog_cordic_core/verilog_cordic_core/trunk

Subversion Repositories verilog_cordic_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

verilog_cordic_core/web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: verilog_cordic_core/web_uploads/svn_checkin.sh =================================================================== --- verilog_cordic_core/web_uploads/svn_checkin.sh (revision 5) +++ verilog_cordic_core/web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
verilog_cordic_core/web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: verilog_cordic_core/web_uploads/oc_checkin.sh =================================================================== --- verilog_cordic_core/web_uploads/oc_checkin.sh (revision 5) +++ verilog_cordic_core/web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: verilog_cordic_core/web_uploads/manual.pdf =================================================================== --- verilog_cordic_core/web_uploads/manual.pdf (nonexistent) +++ verilog_cordic_core/web_uploads/manual.pdf (revision 6) @@ -0,0 +1,1324 @@ +%PDF-1.4 +%äüöß +2 0 obj +<> +stream +x}O0 >#5I$R3;mĉ9.Dh4Ns = +id,U<+}xE?{~߇2 +i,.^_b9Oh;ʅg>5=z;[^ڈȕUH.pW]_H5;km Gw#h/`:=,A +3!֑.8OR/hbg,Ìr'w1qkx[8]{BOR*g.-n 6XGٝ,EoQB2[nPo*4 MR!yaCV(+D:о燁]wo`H~_G +ov7usuz,QY^ q}c }(b +endstream +endobj + +3 0 obj +423 +endobj + +5 0 obj +<> +stream +xTMK0WYh4Pp*xS o~^N4v,潙`C]}Bhe]X >"~_j\X+_Op~/DIQZlƧd|bzyZmu ˠilA˅2\_1";W%rӖlx Zsfցa#fۈ +=l&6%ζMJ:dQag8f6!'pTҾ^3Wl1wOG/xtvz1$ʓ9){9FH8EqdB¬`/ +۞}*#vx1ˡ"1:H '54dXe@% DصBRo)<͠]FStz(= +&Ƿ?k]1)= L)I)#{/XztpƆ۾]HCJ1 +JZ9 +endstream +endobj + +6 0 obj +454 +endobj + +8 0 obj +<> +stream +xj0 y + N%+C2zPz=:~m%f AXAoQ> +stream +x[K# +ϯ9@{E +v!a[vHK~DJ*I%`03vHQ,q~7>K?Nƹ<}ӧ: v׿~||yEb I~ŋE|O IM_7sMy}~W}_GBD<(YK,u[F '<@ EBX fÃ\xe:H | ;"2C1v}s]A\.UX*|HoaIj8"❿D᮳>ui@7C1؁5\S䣭>AF+{Q +~r3XrXt)ukfkK G sK柒mºLŨ +ўI.+ap{uZ,U@GE4ٹ3۞d(v~P> "+`J {%TIlʷpZɺ[ +hwrb%^I)Rѷ^>^ɚA`6`ں20ׂ=~(.w-(M܋Db1<^_x6+}&J:#Yl +5vqAT)J/*ʁ_+K׀*l/ +DaaYk<-SC">F66X|.nS 8j[;/K88{ִ'=]\?8x5%){DiQ@f 4YBd(eQ6ᩋ9*lT EFpՕ`61;UpW ՌHuޖ*ԿGm"U5S13ދ0?~?g}R·()VHڥ ++ +ϋ=fH)>>{TaG(#JHc>:gepY{3oE +yOEjS7Ybf|0R=2T0ڥ IKIׅUBrbEGUWă~n?\!PRhn$Y mAG mS$z#Yatb`lhH`?,a} f RǗoT{߮|9hOε/i}A|z tkRXxJ̴H\x-QdQ8Ǩ5 Avp#Z/l$(n0$LcOju壯X'eixpUlMʄ_B;zvZgq +(o+$ p&U,Aw g4 +vVn[sax +>D:VWoVG:j7c)@RF?2ƫl+ 0;#g 'rJ]R Jr3**eԃJHxF%d@RHTv!hBpNgt 1:60bH:, C;:eU!Ccn{ Q%d%Iy?`J+h6Җ +y3s.LjUZOxf`5xAV^ j[Z!8XPIk) +/j4J +pAҸxH3O#8c`U^GEVyBQEO1tDxDQ: +nVY,MhWmJwۅi777*%`MͧgRI蹝NOM&=Z;.ݺ1p%f5&0[$EG%-z]KKy5?^Cp3,aEYqA$ Yd)ȍ7\a 3vV,gpr*diЦ ZHv~67^gV1;+Uԭ&x&Bpq9~Ū_~ +.l\lĐ2&s,/PNU 'WEh'6E2]Hf"[eTdrfg膶ֿMBe\*KCZuJ{ImBLEguqxnq5HGJ7nNsG'j_٣ocP5[QN?߰:x;`{xf. +3@nڵL +R vMA'ob2swe|.s5U>{5W#s"fC$;@q +x%r?hU.F# `w#$:&/A$jRG{RӓGFPrx>2j6q曊s##TOd,0<1q;U`_x]bΡ[ܭVMn7FښεynsC{ItxJ[빸ebqLD+<'૪F\UeG$TS.?2ն鍼ug\Zp^[q;~>RWQނ +Pa{6.R?Lh D(W3i;{#ȅON 5z:]mAPK 4}ȸ٧-9€|k&'*riFkO;[b%_~IqNӊ0!߁ + + +endstream +endobj + +12 0 obj +3320 +endobj + +14 0 obj +<> +stream +x[K, +ޟ_* +\dw@2$0ߏ$]vuu }TlYO-pqՂG??.a_@%I/q7q$?st_B +Ϗ >)|xo?}C*!;h~\*y88߂K8 +q3|eze~z_??>~~?(- + JQM'o L_V2nif!\ 2( 9C[5}OT2=dat"'$q rnX523-Դ# 68X$T9 +b)9AKydfU-tOE#Nb,2-{;zwvimɲ$)ㄕo#UIe#jw9vV,TQd56cE aH6̑J1bywU!J&*LR^w 1N1JvUV$@@1B9sHR[j֬[W=UTGٺkgBS:2`BCm5 +8Հu -! H3|Z]~³d; +2 yc#%kNZ٥ +a# +ғ~,Rl1AgVSD.DP=!rٹ|`)n9yݍx̋Nj̋^eF'iSeh4dzrِY} II>좗BQ_͟睽>uJ)S&F|Rbߋ4U_y{!`XI +`-Q[TӡURIIY9i;^a.2vV0 5S7AB[ ȊK>^"#,< ,u$r嫔#i>oSYp\YyvRk IaA}+׫lsd+!+g "&3>ĕE]W +1X \6 ՠN(TXs 58˴ygǺ>}kʰ`lSjjcc!:iBt!z_1(q>=a~,\aJrbx/ͪaY ]ѿ,Dـ̀g5=al#\a?oeezH#0&Үc=h T#(N+rFc6Ҳ` +&Q.ϪaѼ-muYPb oW#H)i*Lp#6"CA.F,I)V]hC3v ,Q7emxٞ`v/,e{8dxgO܌\Ed*p ٓTA~Z.bEO6uP:}(k!ˮީJ/VJ? A'>V*vƪJrfWvJ\妥[ФG,<"Uz0J0vIS(Y;3:ϫ>ku,GͩO:R8om\D򄹀 +IcTM3::K\A#ۨ6 {#b"(Pdńb;Tn/u;!\6G43i3RVOvDzv"UT=g0Ȉ]q:RD'&D>3[:vM5:H.>x+vXRfTߧF0FIit-N*Vjf:/}52R*/ao~gyF\jRW/} `SїzfW4nuՕ²ի]2TcɋJnݘ]2"˪J B[]MoFovZk A=ِ*^D +|vE좗bin>)'j9#ba7bZSTɉ$J5<D:zJ:Ζz[}R9KJKZ5X3\浱f^ğFmAp1qٽ,gZ~ +@Lqf4ȵd-BԮtG/Tl\s@hM2 LSVpg]Gj +Y)Ih)OM҉+T)}S[D+.] +곙A(f?ʆg6='Όv-A%%QmUHWX){59^Be%N-Vxd:QR;0/ݼg6!/n` +endstream +endobj + +15 0 obj +3399 +endobj + +17 0 obj +<> +stream +xYK690*nrۤ!%< dJ/Kv20#YRUF]Q͛ai|r^lw^{it +7NoT? +7>uLoF^]L1x^>$jw0xQС77s%3/ Gˢd(xS!'PьNS#1NU3u; +6k$GἹ{m7\ǽbdaNg/))k ׵09i:}kU.g|{{ǩ9it9 +ab9ݲ8THVXRqwV !TR?h*C;vo\Dsnh/zGr@)b.tv/EԒ׼cf4Еm>EXT9Uṣ0$<#nRU~o8SLZ 5q>taexwl$T3 +) (%"_/"mfw9EӕXK$[^M-/FY(.U9Ɋw21WtG~XՖxYM74O{g=+p.by,rZVca;ΜYUH[Ų#0{o;%).G eXU`^IP06ΕKb<JHluX¿9A-C6]wceEHѪ=UOY\wm#吼&IA5l+2` |4V 54P0@gPv4 UEVHo[(CQjWW:6g\0s$s%Ux_ӌv`~rxgNe_~!$k>AHRlDu$R*Ѝ'Yk)t3#&e|LY2R#\R(1}$vĻ帯m\gl<W5ЍR*y +ʐtk &i.1Fwy-7ɞHF2hݰnw3= ysA +zyc!Y; lҦQT֗bp1pg`*!w0;c8ߍ>Ҿ?`dGLS.k"}}}< bM'þO+hU#HIS +endstream +endobj + +18 0 obj +1838 +endobj + +21 0 obj +<> +stream +x}{|<ϳ{}6l.KHH6@$O0jF$ + %-Pm&ALPkԶJkmѶ|-UԖ~gf\Pڷ}|g93s̙39<F:qHjju|п!-M[zſH By-]w!) ضP=#d!5;F +0ƜVhhݦ5POom3mM%SoP_7 + +.vKb:{zrmI]YK>0|@JZ +FْdN;9%#D),A)NX$~ђJM$g}ga +!lЖch=h5z[P:5h 'ďŷCW'OB=S?x2_=TEJlG!k| <܇G?7?Y6K(NFg"( +'o#?GDx_h=]܏z} Ű4p 0u~=~-V8-\%~)P"=\o[:4~륟1:p],KȆ~Q(5A#h6Hd̳ݎ^D?EA%;;b +fN"M\d;νfj>tEaGNg ߢ3ljߋJt=~c; oo`ϯװZ|#3$J> *v=,1r"7݄vlq +W"6ṸGQ4tɷeܽ܋|Ŀʿ%(Cإñbߋ"L;ߏAV|^DG +0Kދ?¿*f$ }~5"oߓ?9KpopQn;7~~?_ί3"apTxJxI(U4+*oSRxP5 +@nI|=zg Ϡ`؋3\kR|-mx*~?߇xrH"{]8|N_O/c/K%Q)<ō?(9Z>寔)88{ A$V~> +GFXyaX2.b$J(gQڡ xb ¿#gU +܈]C$ϑgBtqwQVt?ބ{SAעfaŃ'P xJ@؄4-k 5TT"43,>DጉC^*0:Ƒ +/GEI嫥WΟW2(XX0;?oV@NvV?#ݗ=3RS.n&Y&Aj*B9*_u7Fyo\Z!41*BS8QcJ9L))MbbXJsgU>1jOV|W^gRd`*gkōbUzKJnPU53ѠF 5 0j A*=0u*._% eT+*ܙQ\EQc +6MTQUi] +:  mh }͡\aQMgSURQgzo2iu=btlE^/a %Սa;A5DQ_w"] ]Ub}a_miQ} }olqۼCn4?Uu>o,WL+ +$uyOA9!AQt@xA B5+'%)G%QIN|4 Ec6ÎDyG O1 +ܢ0}(HdRՠќ" +Sq2BL" >T +{tHhT;W%"ڐ<@}4Ҟڳsg|]lQdOjN׬լXW'Voe[Zd E*d"C$cO"J.g?S(JX55.NҌ(UӈF(+d._V;~f5Uڿ'VoM9qbCG'$G﬇Ey-+%wպQ"T4.LQE$J&[iM5TAчu%JdM~dB.{"V'aӊ+t/4t)0+.eAJ +v_J'թ#1)` ^Q)5Y*:" ZJ)2lzGHӼRac%t0f$Y3fU,}GwHG<:aduAlݸ;c\]o;rzGs +# n^z.t85ZJ6+/o?3ɩ!PfK g0%dsj،3),m3 +/_3x~_+Jj/,9E8FjZ[o=Κ9U^SUhҒ?z}F㚔bzhﺻjR2ĔUc83K-A{@5kT-)N^L,kk5kk)(E|*F_T|Fg aEVkDWg 7lINϕZҥK̴TsĄ67:IۢhѴ[5 +@tk!X- Ilv6R,6>zݠd .p >]u]$˻=u_yd߅sh(@?͚2IN! +7S‡4Tp"6AJzk(Klu7>N]rQd9WkKQ?tT8yV~ĭRYbHQY>Ot֜}DO1$0d0l82VP$`54iq•ąpdeZv &Jg ++%#=%MzvuZtwӵJy6r6f9 ~ѫƠʕ*[S`yjG +`DN)Zf!vR[ ԗC?սaPf":-&PLi`:,%!-jV-?K-Mo@J:No@X +W +bs!uA۰v)fLq^;յ$?R 9~[w[hLnKK1^7p +^=ˁŸ=p .]|NI'6@,.&Ik·ÊUFo +S;'S7QeՏuBjb3S+htZt7&w%du}ے08076#K=156ܐ!-ݟa2 ~Wc< I+^/wŏ~сǏvEIxшT09hN_pLِdD&CF&bUZ^-]FF;۱Vg@/Њ$F]XZUq,SyG0/ +I~b]cvb6.QA DOK +p"'oqHeGIC8T6[s>^Hł~عy]h*YQKpKCs +~7lzuzXWܯs u.KmͶ }݂Jt8le,!Oi2gCf0eس0p6~o'[$]x߃ݻޏ-R T=X$G:UqP4U*UTҠWZ HӃ n'ukA瀓t9/8ɇNh:MCz9>  9W +~tD? YsRzj1lnzAl +k]Al|}\%9,x2ṵb]_+% +_-"4y +Fˆ݆$+vX;9R9G01:F( az#n{%N!ÞSrr~ezz5ZwZZOY/Xd5YEk[&bh|D"k|#f;YT g ei`(V<4΁+*,0ƴ)W;7|M%Zb7?[}[ %Uy[} |&Є40+|L{rJkXՏ^T1M_>d96SJƴf*Ejrcwa2-=_+ҕ +`V{L]ZZO&mnmkە큜i}ǝGrpxK)ǎUfܓA2$gj0MWFL?3gxMT̒겄ekL:BwY,R52:TX؟6[]8⸈5Ax7d%u$˽|u֍IJylqLɣ(-eHtZ?3IO]nVؤsҪߟô_)i:=ϻ:(xdؑ[2R|,Z76A 3KxB``h{Cq ꐊzqHِFc[o`tZwMo=a3u݉jBĉ~XZʌY>8/Yu%ҕԖP=I"@$&v>Wݖ mIm띷~ļ3_L>qX&+%OY5 >^cͰ\]=_0hDB'ZHF:8^0i=GywiN#x q~6.b~pzBp +eќB;/:0?V>pЈҚT6*4Jgsߢ7ovuuvUYVV&ծ\%g<o҈N'$J];SRbTjʄ4!\'`M) FpA,BpCj + +q0wĶǞƶy ՙbGc_uY,_Tp ÆS7T1 NN5 +BP5s ՆjReRjcc\d3l3nUoumIݫڝPۭjW i ^gΨ$7ZjE7`7lHsR&қDET18{|XkN4΃L %dϬ@A]>3DVnw$yY3AT™~A7vb-7Ǿ7K/N?~N8g}7)sw?.{;8,n*A! |T%di9|X'8JVP☓'A1g`D,FʕyLᨵAII;X{lڔo"&*q)A0- +0-gDߐ-pU ,~L#-Koqo.^mՂ)bjrv;F1,Gf%X'Jf,B8ѸVҗ?җ?N+hD3CZZ;3${9Ǣ38sl=3yj| +hY!OgLZ{4g+SSSnUErEJEZc')*[DYbbYpҝt7gkǓOy<ɔ'SU]L%uW_yL%&1eN_s#xHЮ;$Ox9]{M5O>ͥs`mJS g^ 3dž%!#+$WJJs,HFU'IrsBU鋐Qr J?3wzY4%ϱ,VSfI(yݥL? ׵bﺰo~hia";b;Xv7~%ݟ +G*}*ʎ{p .$x?gXB:3IlIك +Nh6!ƯRjWc?zP~m +_'Wx<΃;+Ui]csфχ_;'0;wg^2Ⱥ}?E +JI8xܒ%$zsA]o *i`6BI/(^kPJRI;1mh˷58Hv3-)36[1f%HJL..i=|C {飳7%ԉ9%:[hGoB' "0ș\qLAo.K2% 8^ +->ΗIp )UdT\v2¢ +7A_/ц +W逸_?'FoJ{l?H!G' [߻Tv+~x5z*;^ޤӌtI\%=}IK"IԸ' p^ |Guctv{<<(X'μ!Jq޴XfMZD,AD_L$U&o-w%L{hjMFCs`Lŧ|)D_xȼ\Eo#;D}J +k8Ih=!ݲMkc]Yf=nt +ypG_ AzT%jKЭmA8 +gYGWcB:^ ^t:~_rsxx=')%TYH=l1))_PrJ '5x1 n +~SF ehGzn4'\UWut⨥KL~`3&Ǐ?8q"v!v g^yObo,j;Vd(Il:® rAUU*UҧUه8<{Z.}*LeeB og+Ӽ2d+ݩvKexlLNIgj@nj&,+j4N|TKFw?5:Spc +Np6P;P3rH3Ҽye3=;39)fg3LWK'.rP(_.B qqsCtfq*/ݖ/p L; +w=uo܁󫟸,8S3Wo;7lNykn;׬Yʇ*]|!ՏYKwȟ6wWXʥbEKDlauv'frAl" 4D3=p9}%y9WP{@_>'%t? mZ.5A}jW4@Z +i +@WRDsЭx/QRn!|NSP*U՛4kS\L?aƧL٦Jf@dN:<4{Beں (ba941*V0Iydŭ2, 'Y/JC]V!?a5Oa +'ʰmPAuEU)zqՓ2l@oܣƓ2`*axLBS!ҙʰ]2DLeXLa52 2!!2E ¤ɰ[g5ʰrDS0܎o3XvS+]x[ydq`%'2 {3,9dGNjbu0*a_"1]d5,ð02 >"ðWe7Z]2 +~Ea%ð0XCeYe&֨vKKy4#+`]KV0@5?+,9z$̥ '[ X? 9LTl]9+d.Mi} yVm Q +&(EHQ+NW;wni +gTC#Mr&Uӆ&qzm f\*`@J<20^nf`.ds4ypIyh-gB5>"ʂ1"[7@j8?c +7w_Iʭhm6:\"AZ੗Fe$BʣQ-!r-|9d|JQ%mxJs'Kym+36N7r' s2)wU1;ЪK^& u/"`d]LhTɾiչ6}o +1(e; +!6_u!ݶ%`ɦicbu;cl\M< +[idc%hOHWH=_1L*(c7-}LTtYn7hl&fhb[Y#JHGB `SrWa}6=LKwW։ 3ƛM2!YM뉲NȬͽ&詅E=lev%H'ԢN0Cl!26돰]kc8](+ݑI͢Uޙv +-m%N$6;z>&y +l>&t36Cdn7Mt`+mӻIu{{eY˦gH\qX%fofbVmrsw0ICLԆReOt f,5bީ0Z-Pۡ0L;>k Lci,OjHy^^- N*,e=_0_D{Ne>)63yb7m79gӤoKy4[&<ǔMoD=Mod'}"zy6j[d_a4JO$3;'SXNw3+sWׄR2e_Y>n`1yg:䑯ClUK*ᑿ_y·Q/bhfm#47h۾a9ʘs%wq$#eEY;y'ifL;E3'%EkgOUevsz:0;71['דkv5!Uu1y/ס)Xŝ#j^ՎA=5rVXԟ8بMݟ["JQ1!E;رdvJ_rr g~R7,შ_"䳡 eѧ#kP'(p+fTsPhκ8ї9Mu[BMa;ְĊPoCjk%VzCRL\G[z%@7$?Yby[2G\ wo 7wGBm ;ۚ'ƜZD4om]8+?_Zil͞BZsX|T\j7-s;17P׬kC_\T\2K u4ᶞp+͚ ܹ;պmzSXG:6R7W\ٹ^ijl ̤wG"!qU[Pnuo{B ETElD6vkoP:;bk_{ZCݡ&XT"M=P}# .X`) `V1"E#L 흝͔v/0BhwF€@_Y"tpw;m.J{C'f,x;aHGs_O/[jOﶶtIPm# s `6GB;i+\l +uD:ō-a>$80Ȯ#衮0) $[a1m"tic +G (6žP)&>l_ KaQTO`a^P +ئSO6ntަ ys-NA;=].` +PHwuwwfv.+쬦^}Wy=CthHn0#Y|%嫗,_&.YRQlUXheUҪefu+uBjTtOQXA/L-*2]m>JD +(LGa:=;8KAjF@{3T;:aT^_t ;7 +I: +}04 5mA=L"Obj% +N=K\tv*`M=]8/\)v0m ngn&[fݟc- I^g2}dP6EzZ<0VB?lU61.cIaӀk +ww+f=}m`C[")d<@󔋛\#kSӅd[<,cy@{y ';"YU@`qX<{nn~0?_^SgsJJ`ui-Of!\WrDCY.E}X{LsrKcz~Ƚڿ|mk/_|_6 +|mk/_|_6ï +&D?zʄvpػy˰&ڪ{P߄.{vS&h&+8ջAq-Ym {qy=~*c_: ;yWs_%ӱؿOtZDK +ـ3oFM7}i،@KOz/_(~{1j1a@6&H&T (A"Df(wv(5@"849fu ?0d>d43 YP[n"̅Cn;3l2 +\3x +ʧ4l4p@YɹQ2C2$)(p9=#CY~Vk){L;8%NrxsdZ_p\ǭex8X.qC0Wť ;mR +jnƐ3,wC*[0*ŰP0VDAwr?!跗m[f?p)`A>nB]\?:< i 2 =`sqNYV@9sYsXg(({A!`w,|aK9L]CjΑ =xKf0I2 D=Py&?#t +`UD#aigS@y +{C~7{x|z, +\?tB*zN􌒃,2\03\X<,HL@{ I}C|q|DENy *#wH;!݊xoH7CB ( (EPtEPt1.6{$J@(((E-PE-P2ZZe@Q B + ($PH@!1 + ($E>PE>P3||g@B +(D"P@!2 +(D (L@a +0 (L@ab&?}(8g 8g Pag P!ܩ) 9$) 9$#9$䔼^& jH;!Q11ccL Q(PD" +QF(PD"(@(F1@1b)n$JW֐[q +WgriVނYy3:ʯXy*fe?ce/XnzHA:HJmHqR$Fr!1 J/(c3 +"'=Z=,Ae$'Ha~-{rp,3%l)!tbHr!e@! IS Y%=><#=Ry2١|(F2CPO@4 O=!Y^Y(yP4 e΂⺡W=zyxJZ.WirȳV y e)vLٸ2CJȮ"mSBU(n eO{g<cG<G% +dP_S1x%Pay0,7!M8_2W)~ +/پArpliv/TY?\VZW~\&+`t::WYiwUN*\Uu*~ ++V:ܘ_h7u- +=:ܞ|G(:¨--]`g@˹}7$>*w[8M"o3zWBW޳ú*R |c+^駯f}%DsVDVS*azh5qmPAgt: +0tI.%P ( *S :|$ǑF&y +endstream +endobj + +22 0 obj +15870 +endobj + +23 0 obj +<> +endobj + +24 0 obj +<> +stream +x]Mn0Ft@"!EԴ "cVlc?UsjL?nTE`N­7ռ譆, Cc(ͯM[+<zsǗ_0E}ZRն~/ /DLc*j0Vk +"JQu\;:>Eg9aS +yǼCΈ9yϜ!gGέ)s"N̵g͞##Zs> +endobj + +26 0 obj +<> +stream +xUo?~@%1&呇㙴 B5)y`;6I4ihGPFQש[]5Vni?vR + +$鹎k}s=9|[A /@גlA noSGhdɟq}Wfw(ݴ'E_=>>~N9oH.ijޜk@KrNwe-$L/[o'|nC3i^ą#"d&dE?'m OqA@+!"2jP$b 8(gr;8T ++A4ghW&-Ьi*22<9!KiT 3ڣP SHpl;oyXsK Q-.dH E.hX\blwka^M.b^EzntKG._O,-ZOn~MŦEkz m}BQ~[z<2=n`جW9=Y"F5`9,lؾ#.lu/G_?ڽ]Ϫj~O4F˖ť]vc}N +Ğ"6^z%R:Q[2'ĉq T ") 2?]o5kpe/p>;Y"% fc忊qˍ1xj"4n.H5ҍW'zUDN{\}?$sU;g:ꂭWk(1 H^.+yO3ϲO\f6+>D,&R3T"Birǂ7By<5u5mi11>.3r:rje&7ʭXtHnٷ)+ +wvhrQm='WԊ4Z?9i`㥱my?jOZo <_Kx Kn|?"(yfz臍 ݂ysmp~^!D`E9;D!OpR +Ɣ +8&fXL]SA~4u}:hM{ k`;#NKx9/5~&j|JűŶ^H_)̨.Ma)#:emLa;ui$])fw),B +_Laj{<YȳhhĶ! ٶD+w#asSypoz_qU[#•t5(A4B۠ZڠvBfl.B?Y6A9iM>AJҴ +$ ߅{! d.cy!g +endstream +endobj + +27 0 obj +1636 +endobj + +28 0 obj +<> +endobj + +29 0 obj +<> +stream +x]n ;Oq{؈15П([كo_m҃Mh7;nnJS{DcgHkev06z$~ovvzx"ɫ`G#hG) +*a};ҍ`պ~[eK1NQ$a6u]^% >;SS\x*8Cg.[oSC4>aO^:D +}Ȃ/؇aNRӇ~dH80%dB~{Y +endstream +endobj + +30 0 obj +<> +endobj + +31 0 obj +<> +stream +x{{x\u{Fƒmٖ-l=z-˲5zڲSht$ +ix%%<J[h +즒IiHZ iB)!iosFP~ߵkzu^( \?ف_.QG7m$h'34&+'eۿw:g1鱧0][;cv4A5O3IzۘhH8oDHԈ ?,̟ RKS_=D6ZL|j?~>>LAl5WTv#[M"e *a꧿'C*ԕYtٝ$ı$­Hfv7K3=B5p\_+/ emg_ҞC~h4iIƾ\ƮQ/ +ҟЫ˷_N}5|4Qok#c,dGٛ-4R!ٖ St2Vx{\ Gtgm1 ɛy8CR0tz^wgþĞ}{ R|5:K/CTZ!v'}=˞csi_Jf&K>t +)Wװo5+d +慂iYcۓGMM.ZڭT w +zHU^,͆/tbYg؇lCx[ݠ=7v~|3D;WT|7BN +"{؟Cw=}i`Q}t<)㏎KOBn ZB^|Fd!{.?<v2baaS<{]b?e5Onwy$DE.P+vi>m@F66'?k{l;_?6OHQ)tR'tǑOCǟ/}_ 2Bh\VwAub7G3l}]d/gCΠ}1-8-6<Ɵ c\-BVۄ+=$~&~qmFkҎhmv#SlcuZNڍVhZ+Em k`w؋H{y +B=,Si4'ϥtʋ +rEq7ξEȴ>*mm:TEUlb&݂$G]|b/m\:q -~ra>؀cd(ʴwq=^S? q)yXCw[t3CZ#| Y;HQ.i7cTEz?H!ɂ};h}5pz,E CDhtȚ2B^OG {T}W2D׳G?Ҫ:xIMf;*l/i.o$IamTc8K;'lӒQK&3|Ŝ$N +4[3>dMiO}U;ݣjw1!z +w +zxjORZK`6FUj^:zCY :fcCNwKQq>O_ ;@oi_֎P-}l$oB +xMH[EqJ{ +Jjk|(xPӉ +z]^W`>.Q5X5#2g(1b1uC(X読K,pJ +bY=w_k]m-*L-AD[PHI+1zPZC‹Grjw&+erCnmbmMN|vMSW`]^P{Z:eez>'66&=KKU}Nb|7 WkfBp0A zF:}LT滼rip}¡Ycff[@c*`L)HKqgՀH`S2 ?^]vD$Ȩ +Kܟ-q2?M-LڲH2O&R +)8v'V)^Bmjo]G{[w:ex +}\a򔸽 +S+s[v |^BMؗOf̛SU`>c0\u>˷fzĚ%Դ|nA<_U$ b9iJh𛦒}$ݎT'r|;ޛt~M#)warf=}e|zY +kycik@.~7Ims9QJ^̂ +n^y*W>tjv/|#M[s.Q~^mǼ[YxDt`_-t14qOͥF=Z`ŕUJ@ŅaYro]WHUdD{,~Wm)Jbd^w(dTCBީﷸE}ҩ_Y#:ɜjW~ld焬dJC3Rה¯𭖅eMU*g1:lep*;z{keL1i)RvZJ{ף^&2zթoLTM?7BDUu&; Z5WeQ +(dJԒ^̣>f^u+zSyC*' u70Sq1&2Cגօ>E*OLVPg hiUTP*ߣ*WWز+*$~[Va:SmVdz,W +etOuʬRrp׫kvLqbU?z}Lq"fIJWEg>Wu+{TUlR+O¬'))yk7=%d͜䗪oiUkBNRmoLI71/y"V~,&A~e䤇%[75Scߓ}au/h}O')~晔gϊK2L įzS_^RBzhfS5J=qIqhAE$5 ϗ:5oJI!ʤ,oS&֡]i9e}1Vr&0.C ǁkH8=z$(kqAT"MP[ysizU(vvc~#fDpo4hDF~7䏦OY*31)dmR}` ;⫦Bb۴ٚ=7GF?=Q3Q]#1XFX#];hh!#ZkĂ=~]G`+_wzCB=?ȢmzU{4denЛ +z CzGV.]/ԻCoHv=)ʾpO%nt#qcx74pV|FG)v=qxciҺlmF=rIT+oZoZSVT4#5k֯Gi&} +7lvd~ʩ(g%zE)^1#|A`vnWꦕZkR7a>OĐKڨ 1ǴkǴkӮ}L1ǴkӮ}L1Ǵ'?M{뙄jk?l1}|Ƽ:ϐ)smFkԶk[o&AO[Y{LX u.>}ah5S?9yQ\nYPs3=*5g*)v54?baDؓj <%.&1`.S)F^Z-Xê%ѸxP#'xd$ }>a{֎*`SN0SP@VihuZ})O +S +b\e, LV Zq`xm U>gUhFRUGGVp+-X%Sg^M8bةƽa܃w5x=yA7NQ +b܁ڂҪ懱!OkC-t$$h(aG^CZ\5Dvxà Uk؆݊#* ++ +Ez]lR4^4 +| +zBB()n-Ƹ^\i/-vή-AۃvhgTixfJ^)=BCv:WQV6u1k쪨X7T^WԬ#uV h&Xg+JSt%hOh%SHJ`Vb{Vv%gj\ߋvkmJ%*9h[RA Ēa=M4, .q V*-hglb*\+pĵ pu?:hu77prnxaC܏}L7%q,ª!r3ޣr!W9rzȱcy?u;p;ݎ +n:cQ5y +G~[ժ_%_nvPƷ؍#ي/sDc_t1mn4-͂RggAYnKg)=/NoN/N/J_"ݕ^kmϱϴg3v{]s;sGzo7sr䐦^Sp=73;#ycS5kL\ Pcmke;Ybv#56W%6GғeD[ߋY7¨u%%|_F{N[+i /̫mګt>wO乧Nɢ#Myk%\m(e|c]($ohQ^V_3z'HvrPtK:/[7Ier0+膶:jVEu:MtNEi9&]r*gW,4ˮJ3śF3~(djn3|c}]ym>J5?5]r#˨MԸj]M.tmuͭCy᝞u.v3}9%nh*j)k,ۥ3R)kgiijo!sv\hyb%-.IP/8$?23d62PI^+mb `CRI { +endstream +endobj + +32 0 obj +6950 +endobj + +33 0 obj +<> +endobj + +34 0 obj +<> +stream +x]AO 96О&f&=?´؁L)VM<@x7kQ13.qe0HU5fߖsGcl_[2opzqwȁ&8_{'Hj[8=O6=u.;"_mKuw=.:dKƘۭUHw>,K1)t~ڀ[ITߓbک}Ymu +endstream +endobj + +35 0 obj +<> +endobj + +36 0 obj +<> +stream +xԼy|ŝ(^U=sLh.3FeXK,Kmp,Nc`p9l $l|&` + '!]@@7I@1}޿?u|{\?pH׮y–m)ԯ\wYIT +WtE>!瓵\sכzPsb=p"k~##NۺW6.$V+7n8\_[ys_^en[kP}=p  +V(p?=*zM8^PEV7MbNA9TDcD]2drB۔ i;f? y! +C^Ƴ5(FI""ބV)xyzy7>JN +H9hz F-t +p? +ǯжyw`@?BGqn NrZ vϠxEq~X?˨uC gq=ԁ/p5|^hz=N_A;ރq;dkyi-" +Vt1Z֣ +F]՗qso/ 3{alch:}^ tҌeE+_Ļx!*"/0Ym& +wh)Z 3u{Oѫ؎c8 +=z L!3y85H +X6 ChC_-"9#'qa.Mq=]}Ϲ_9JiʉM<|;TB튣ʡ+1nF{^4*-z}3p||Z;=p?/3z8jI3)2\I>rE>|jn+7ǣa<_-PUV_blng 4X>ċ./)oGQe۠㇀+@WLaZs/c1K28VUx-[ ߆o!)|#__ 1$N= r Wpf 9J\Ks+~n7%M4U|ѿ@g=b ?OE;,.an==aٻc&|ny e{i?h*t;oεmqy .^-w譎E_o=w + +a> +#5' wɲnZBtfٰԠ֎nKz*rU{3wQ5#j`y;hCLJFgS,jے_4O12oѲ;_7Վ3;VWe)(u읜w@'6@m.ޯ.>*yע=#p ZJ L/8@DV{TAhY^=+'0Z=B*ed2R2G)EǢq-4@RB́ =?ϒAU !VMCE' ː+)}>~t}x;*,}IC}2G!>}!s_($QxFI҇ĵ* >‏؞ +9TéMqLG>E Ƣ(['Odf } V-ێl*^h2$m@ +&1{^˯ +GD4{;db9V)*NV|2Aɚ3tii4' cZ*-u6]) +lmm6]MKJy^3B5-}lP0ߥHiL$t?iZsz"Q{Eo $Y +|5ff3c#UL6BAUnRd(jD13qb!,D1ʊxAg\iL*Kp@z.o3f1JnO4=&m9ޮnz_JNRUvi[[pvT.@]Z3e0~PZ8Ņگ} ~`V\/.Q>J %܃ɮ*[,fh0ZW^<5RA$dZ!iA,8dq#vwG>QL4{_˼i<8"0 +=j'n.'==p:`a;&FXys. רf[yPovQj+SJ Ψoz0s킰e +zY#ܹR?]*|H<&]g5ąjUaBk/PըJ&K'Jһʊ8QIaI$R8űɕ`yU`ڴ +[ZTF*B? +>T}M$l`@(z=]l@ɑ[ <mDC EFD9! +M"!56XV<eq{r@sk E=MfztP^mh4XS(SL15zmdET] KȗKxpa5|zZ':Q7eJ]]Mttdu5bp^W7e"4./)"{d)3)p*Z]v7s +uTʨ.)Ŗ׷[%ݵ+cmݹ~ FAA׫W.?3˽$m9\/rw|gkVFbKtA,Y4Wt]qOt_(N0)Zײ'/}#! +Uhn2]6lb]/"fxW#\wfݸ=&ȯApQ;Ҫũi\=GH h>WlUw`U)r np^Df/J63 `Q4XkiNOnW-^PW;Z{5ҚO ]7+˜ >>ܸ끙xD ;x9(fCo6ӔpPn]ņz#]Fϸ9[IZD#U˖Qkow%QTgXrഔ]$~4,pf X( +( rCԓ8;F!Z}? +%PF81yҶHw66458մ؂X&Z[]þ) Sϗt\Bч}"p>d սSЅ +seݔEou\TG+f + +69Ac軤K]t`C0|ٶaKl޳l4uG#S9 +&vI^VxMj)B**:b=(f&M'٥2Uw>QYmLv١ʌThēaʇ+Iq+6MBj̘fl6MUapʲe@NW" +O;2uqrN3s4W +Sryˉgrj}"[Ux<<")as5fahuqEec2 +ęf)HH(FY.%ǒQ̞(%Yf$f&q#*~d5!ko.dQbġ'G+24oQs[;r E +=^i +] bekoPFnѣI<1MĒْβլ^³ڻ6Qܤswcjv!AgܟTaFL&SuuZ,m+J5EkXbx6J&##y4VLAjD1lX0cq:Fhm<.M9\ϻKמђ^Ci5 4+4f8?I&yL]+R8*Hݔ{*DEgKOO-!g|O'+2>JjTᅜʫvnIAطaFVFb|ȮRUj e"%h--8FIZ\ӡObMEk]}O>TfEM1ܒ/;'?+d,~;kr!3aY>)v@qeQ1WgR#jҨ{C}!BC6#7ZT7p( xT<^!9'Ef%Lﺺt;@s +g]/>a +z_OʧПM V{] +=!d_"gӾgKEZZvwʃ3aY?-B2ȏFJ½A!)$B_ChdTL ~jн +4SZ-.zPw>+ܤ^ԇ0E9{w/q +D~S4gY%k`Q49ԂWa$!2Kd$/ ft)sL*)ÀO@~^O0;`(BIPVMLO$DC m4PgM2h"Hړ"ld (3T98K@K.:&t4Tol݈LOtZ`E9@8M?(-MLHsfWi+uy1O|o/?Ұ<Wypd q/9HLa"̭ܰ?WL +i>nlb=&QtDC"IoH5Io &?J '8$A%zs3aLhq9usNT.3i$Mtf%V_ۄ=vuèk>1Ū4iioܠ36̛b` +z|q%Kzr8\QR_AGzn:^󅢣&h9\VYeh=ˤB=7 +-cߤbtEU:RSFZ"0%ԢeMRLU>ڠ+1KՊ :Y2*[+aᨶi3ܕ=LGd(dFne-嘅 +hˤݙL-X*^S& 'C ]8q\9%&Ζh]páh<,4u@!~P:En7Qt!y_0&܇70Y $VY% Ue퍽@EKz +PRԱ +U;J^3y|H2{%1z-ᒜT8T|lcz\jS'>Mos~2O)&WX7=|pK{Ļ5zqt xGj*)itLd̮Lx0'जqm_L 8,` (.osZKKx" ;&oH_ubzV + \*h)CI3CE2)q`4K|qvpS#i4)D1ʫ:Y5Dyg8H3d A감XqHrjWªL!s$ xP %yXR{?Wht+F뤱Y1\uQ͓:ӇT+:TtuZf"v=]o5L:Y +'b;Hś;m&\qHas^e+)q_ܜI=ȸiݫ΀>:Q)MQob ň&4)6QNQm*YNg(5H D>W4TR~-̮z~ XpIр=Ń_;L*S +87'*Yrқ'(yv4flⓚZΒlA[D;u;*&wpSM)nZZF5s<ݜ9,]Csvi6 WI؄rD:,ZaMA_+T myIߥ' +$zNf=owQ +,ppwqYVq}=z\߮v_z0My7jF0(0(j2 7=D_4P4Ey%z&J8gIvP΃! xb,.wcj9Lٱ4NEdxB ۥJ@ώ.Icű@+leqЛMgaV~/,X[Z[J#jE +5Ddd[}b5 +>\"|U8Y|k iSnAp?g.E .%f +SS$6Zd#5S|x@OSNWpɺNvlr-5y=ϨJ]47sˆv ՎNfxb i`Mk,]XH6|E4Iz{{ +mԂKZ/Z֫;fl\~EMM-ӘK/J'x)6¥vw0{J"܃(]Y]]˂M\Z&`'Ť+ +A^1T +.'JI9?7хz*Xi*'b+m)1x3ـ ĢRST?1گǢnU;Q 9J7I1}a!_v9v{ZTR6qru )ϵ!( W ށ+K.CaB2lA2ȆzG +' +jhz/Ul,s<F]w/`K-s:uL2h]>v>|Hnׇ*\d1 ||[ŗuN;3I+NL6OO<;^;)IJ K023 PXOL^lʆ0y~Y&i ֊[KZ,@V<''KQt4 += Ѐi +y n? ++ s *wq0Ml޽W[Uh}6V/ј1raOn~Z2z(4RkK&x\qAw 70K#ձuRV?6,QԠH$"@U#+RkuF1Z!:2$)aYZ0' BkDWhTn`6fW܉FkZ~ל;~mR荵o)uS.}~Ew/tKu G8*˾xdޒ_<:0|{Pɼ*fŲV%T.#VBX8fpd*k1,~ɮ +sLZ}W%$1ahTN8fK6,UtyOgO!YqQ_+^XAF`LBFdAIU$X9b*^οI.@Q@͚R^6=foov}ѬZf :bqQz,Cu{$e$ +]^ _&_]'o=Gկb5f Xgq4_?#Z0S "]HϜ,{cбC8ѥbjj&Kʫ:28(Qo +SE>*V1a'x4&R!UGe\'ɣ D%KH#SA*ibΐsQFgs8ٴ$1y=`;sL5(2],r݁g9l:bщp-Mop;{^ldx/@wXPy99,aivhaFiȏFG8h.٣pL.t#ۮ95V('Ih~3ϖ~P ҫl&dW*9*&vHDZ!=9l7mVʇe*Y")`pm +cM+&<;øܷvY6Nf'|dCO=c_=;aSZ2F~#y~A˓꧴OIxzKwZkUW{r\=p7ϬżW`A%!&MPC4s|c5_O~F/<1k̨7ArM +CHM 4rT%xR B4mivj%:>1,&6O|? +eěMv9۞gux؀..D(Gr ”(#jܜi +:3WD8 +DcY'#7u +5;6O]%ߎvq}Ⱦ #]N,{Sih˴_*χA)T^$PBaH +6"?"2Q%gf9'mZRw{5/5ȗTL~Fhae+e;Qh_, +!+}'木Cd !8YI0G+b7dqk( +:H>t,nH,x`"$/va~٦%Q/> ]{zwO{nk[M6'6 ShkznOݰe$6qӝzr~֭{ ӁBSzt^N~鷒A`&=dmMIt-6S6]R+^QPrkFq:H/`d,ޢXH,bZ+a,q_xoT #l #Z +uɇqg]m ]ǭ*;&ğsN3EůЋBRjJfX$T +z-wЋCaMk-fXMP|M8(25v"BO\5‘ +HMF~Z\)CB\= h4YbT6R?fB/-cQX\1;g\m0U_O:F +fnݕ!/c0!s47݇dbE2= E~- '61'Ŭ+%\ZWhjBmVi+fe='_W6`e=!1PXj]ݜF5W6hCfqnӦ)h,W+v:s/vq<3g==\}XlD%x%+rEqr }-]561͹ +WT=껴]\eX]tWn)ߩ4r5ZFz<&[eO]DZY?n>˜x/-dM<8 +19\?"VQcE90O99+iDD8*ۉ:MwnX9 +3Xe8"Oƽɓd?YjިL\nW&jlQ,beՈpű NyTzY探֨.iSڠuLK2UAh>UlPVe#6Bct5,Ub9ɪ㏙.Bxi\nL)y婫W77nW$Ccԓ[)8+r UNwXiRC 4Xᆶi.ccCP;D7& +ji92ҕ.f0ʦ!F]S%m!XvW%UԂl^m>Vͫe5@Ҥiq.#M69_*aO*CfZ~8Y7![V81^pԋ/U0֦nsvSz˚(vpz]ƭ?\n芣ea-P;RVu@43<#ؤX4SbDNWh+څ"pREu`ZVg +H8R!':/ƨ>r;DͫPPYmFz"X^"$ɾ +(YWw5giaCl6-R\t4}Gn~߬zkwd#DیyCi{^O|?…>t‰GW~|G/!J*>tQ_{4ޡz3.m!2-6%f +? 3DqWn#8$l7kno4l(oj5" +qW(@Gj^\X9AL#Cd$E:F::"6e_XPBI &8%ћ؛8V@J5Q/h]K's|NritEd} Tc_獈f}LbXZ&:"@.kF]U +8_.9͖آ:"ps>w +sSJ|gׯ'nW{4YosgϴwG|jӟĦ[&Goh7}77.Irdp%@mׂW6#Gb iGIFpPQr8,1yO~lQ51DNػ=\"^ a.yoEZP 4ڙy5H&\oܩk(i7g~99y5~fRQT?GLD?S[+r~Ɂ#x!!HDx-! +wE%dH+ykzfX#xi(Pzo +VBhޛ͑#+'4+il;z;P+Qd1WՄ¡H(UBiag*0Wa&_?[|4a=Gr+CmcTRQֶ{K2wWgCny3ZwŃaO0E=o}x-?wW/Xvߣ +oqJ*ݣkN h/}[@f0nCMxrcMS.is͠nPO}Fc;r=y"Hڗu38HU'jm;O!ԆyxN +<~ij|2vu|mMM77Ճ[-lSw*!'tOG1!cV +hLf5f/ڣ!! A_SU(c)9$U(kNW(H%#JK W +sNK(6W~{jsJZ^/l cfhlY]y.7*;y1nTPZ y&=SJ&z +9\$d.Yw"zuGHš| jF#8c/--zesNQA%PY'ю:j]*mața>9ާ6ȟhIK%]'ǣUN79u8Tʄ?x4ԌW)V(WEVEoWMwo?`x>k<<9n𻑗/G=H)Vή +*ªp|:H8FhtI:WYlAފ{Nla!4bB0S ' s jExӗ +W<٨"lϛ:vJ`U8_O р_73df5'#F_hTηu9.@pq>M? \X\Æs ;G;k錱v;kFr.&Wkʘ( +qh 76~gl&4jI7>ph2vGѢCI4f*j++1ncMӈK/7O=w/_v޳[s\Vi/v(TvCSv.w' Z\:AjWPAr +fۂ(+<ćO;)6;0uO;Ų2hk,X!XZsyv ;A{ lf4"*dyuZqc=Gu3;*|Z!2qb%zt1J'n8t{`Н Pz+'] +U֎8jN?4}(t?K|xkeX6~/=GFwο eD<tB&Tɽogow+:o>]q)\y\FOE +yAf!3 V44 +*ʞ('gybnzw@n{sb"vwJ3e@S|wa{l Y\! +d#vX+14,24NQf1#V(ٲEv'Դ!xzNB-o&l_ITojg$L9N_wխ^gɮxȥ_簹++[YbE%S]Ǯ7x풍c(kc*l@~LWcX-YX)ZN:X5_zPd҅!ǂۦ{'a/H/w +#-5 BC肔nIV.26erd Þɋ%{z9'y95-lne%Vx(rG1w1D?fVcՃpc7 +DӪѣ}fs5?q"OVŌѽ7L~ OO +v*jۥ뚓~\'GldW/ZEl/~y=WOB}3ߜל_YhGL8f59FhX5KM,$X5M{G5QM uW'W%yIi7[b|$ACL~M +83Qx +m'\0x|y#XQԖ q 2(wdˇk!z])֭W8ʗ€)vzUOyr_<`. v +M]76bٜ +kss*NTPE@?#}BԶ4Zvu**yX ]BQZq-;vz4Yc^Gݎi1_u]5GWRӬ?u'Y1}Խ a]xU}{]2ZJD#J._4LT(L|Yyvh +x<'5 x, Y'jbg[>Yպ_upVW1w-jifV8?MV,.]}R?/Bzj~͉)] +hEXo״bצSW-,՜+r{3Xk͖7g42oiKU2'`TWQH%C~mtoi]-6O `2 hbMTy `ZvBqiuS+p-\bJfFU@tQ(URRrA{؛lsʈ\7+r/=[6|))#g'ۖBT"0Bɖ%J8($i,-- +3zybǪ%ݪHB%g`[ZTEԅ*V1":ȧorH[تgo/C9P¢49{ﭏ~m{?[~翀 +>e߾'AԵ|wxO\7Okf74[K_ɿm+[dCT#5=jbn71T&t`ԪV9]OgpoJ"a.901FT +3nك_jo=mw;—J~7{>yCnfwX*~V#R]t8*YwIӶ/g@ +?'}Ȏ +vUٷ8:YF +3(Yjci\"h<t|PC:Ct2h>`KxHxՍ݉/ Th8 +WdST *+Z[8Li R)-t?JkON͂DȡfiEy%q nd6٬8Wbv7a[<8S;Aks NX3Yg*B +3YOcJԳ7Q0?";nL#S_&7g%#dK"sfO;`Imt$:H? X s8v / +0`K;Һ҅I5YA3^)0]StX AL%˩pVEr +h@bp rI&~ +rW:jCn=xL`g"^Уbf0\*.3F!cU*X3q&fSyAX3UJk&,DA672Qʚ +RAm|wMK|]2_; Sl +܎ЖTޅz[}5*slk"vWCYЦ3E"*×{tvz + +v{ /|3xg62vĢ:gdU{e `Yq+ނ,>ƹM~t 7{ A1kd}O8j*QLjkP"Ř蕀6#L0^I7C;E~~e+/EȋqD^^z/-)[J֒fe + +BpPV".Fq,'!K1]t]@ܻ>^*e*OS^yAx̫OVw"K!}H:i_'`Kct:9PJ@hagI +]Լ+r>7yyT +9LˉuX_%CzQhU/p#?5Ž_ֶT+s蕇&oz~Z_liyBqЦg0F'W U[nT97ttN8&.> +먊V +U}{;Wi4gknX~_``m?Pjw8|H)T8qunRn9i)dj543s!ǥ/93G%B?/ 1d,hԵ!lyȺ9 SЊ;}ܪwa(=$~w@M$m|LaT#h$ʵ@5-ļhReH"UX^5A}]JrJcT\-ZS\#rrBϑ%2ȯ\Tsh{~vf{0zOF\]\0|nܿ~=rlpbeg_;ç{BA|:o(\\s?mpD\b Ο> "u&.Ncf4J +uJSh0IyFa;,t/~JJ9N"0RU&VڹGU<,DK0*˫trAѤ&ߨبlWon,ܘl+w+5]...7St#?4YE'nO/~];rOc'TOh(|xŏ&SgӅǒ|Ob{D6[_-p=e\VU&UHSkwmH\d[MS 5%EQO"Q!WRUiLbͺJk0 5WM~s'\BHR\nɱ Y + \H"ꊘKr3 \KCS.4($ +^\UXTV<,J/(H&0d9|[Hb4jRP-|Hp9٤PYNjd:Y>^~m)\|~+P,]xãBACDНղGkN37Lyɓ{:4wgޡb3͝Ced$=δ;LZǤ0RΩCJ1%/D"6]h|4aDNK d';E|%l+-T\.fwi w JZ8(c ,Ha3Ŷ"Ys0l/s>n/EgV*;a޸%ia5Hf/%0^UBPS#g*C!^^ո|\q@y@ +x\^_yJzKG=*(Kl^]"~PB91`&pbR)?RG+QM- +zMhq)y\XʡÕl%2HG#̍`jyxc҅9ªwJe9IG@jsIr~I\uz:_OO#Pѿ. Ezu?1F6ܛ\\}d2 mIj[Syt#v>x쑠(t;?gj ĥ ;40_^ruNP:MJ8ٶ/[ӓeyIĐ*{@cxH˙]FL7;|cFh<`s1LeF}̫%FaFK텩/ +~لg>4`j +3BY2КךL1in!r-ЋƇƺJ!(&w$26^o҇>ħ jZNC F9 9oOڃ4gpApCA˨#Q(Cs Ziތ6 +_-1aqqY!0QBYx}R2\8`/l&h- +CR 2pYtю:X/Q\.<.* +&KdS:pPKr6-\x緦5\F}Ԣ\#Q h! mrG/rHo +v)jc]_ mAAAY/VnwWx[&kzn1۸m24Բ2dHeJ(ǽ. i]Xe8rEJ%drrR|\臰<Tr`z=,:y"o + 6~ٯ~zwjCRN"a͓tnZ,#K1J,cKTKۢ;>~9"H'X= +Y:0_b9_br0̣Z)u23r٩Ü{y +iEwuCTŌoFd#[e9 q &Kvwtv3ɶT9o[4@e/A2L?{(s~WrS:\c]UŬc8_8/LON-:zF*>U<U(5,ʴ[Wh'aBTTY}bIR¡ҥ u +5_ n3QO䴼> ?='-m;ZK;c=e$F3a7~0ssMR9[J_g'cI+jE +VëYBBf6e&Y[e[d,7o6 CQ\G.fȸzhƀP!S5`/0kvIkLrf&16<,Fz1R +VN)ұ„bgڸ1q_7%Ws;Nyy}#( =/8ɠȅr1`Y)tVP&A@6r;?O2\/(pCf'`_\fT٪]E>59=y)2"?*ü!a0@*5US~qk=Oxq7 +b٫XkH.1;kyJ̶ +Q[/}V .GDOcX P+Kd ٰۨf@ +PΜ[lbRy܆,5LS4AMS? ^ { +#NO*`bqGm +ze}n766[YyB1EcVmEmZeh^Geɐ&[p +"!W +m%6֦Б"Dң'#_]]']"jtJ챻]KM! +8O>er9*mvr=c0ȧ};&ilmlUZ'2޺:dri^q ' ~degAv@T^> 'Jt’QGDzu;9y;'兼Z)]ȯ+epM2:rasbq܎DML+k?}~S/ U@ +͚Fʊ+5DzחnYNݢwC49jj&`v4Hi8+Xb>|j@= +SŠJA%@őV|Ձ%a@ ȖX&CJGTψ/,vv-?s9򇊄Vǥ|D/qŽFkǐW_q-kEfī>!aY97Bqgr1lMoI3釖W1WF"Qŧ +yfkANjV?2afeL]kCΙ;SU1)Wd +\gq +y#tNv0'?u%$IBz56[Q:"7 \ W+aE4 3͆§k2`c^_ Yf!p00#:UIt/5ET FJ)h3JW&-( 220=! +59m4&Ppr"y.%=<GxQxeg6A T6}Lsy5#?3t=,qy/l@kǎV+a.F&"+`@ϗ!)ak &H±BW>NB4eiT~j>N7_];0G\5f$H鶀@u cp0Գs+|y`29ʑ/1A>7$f¼ԏ7MSOw /eU-M)fW а(e1x=q/ BfȔy&Lqu"*!w^Pr꣉QAqRyT柿lҩD_ IK]#*Txgц9QEBF>8tLՂE`j;20 _0Z VyE!6Pq?.BIKq'rs +8.c v5_; +aw(|IAi ,Åx/ڹyF] ƳZ޲j1KS4R\^|c|o^p~actuONoH$XSqwŏSʝU=X}_S55j?Y{x7ZQX\ޒ?--}ῗi-ֈ6Gd7Έh=7X4rv:K[MXJ$E9\"r/I 9) #W V;D!B1oKZQ5( ֢m*AucG$X3nŽT) R$ESsV !. #+PiBb.`ZjNHyҜ` +X%XRc7YK`Ժirj_FaPXIV6rK0Q 6*`hOI0Q%e`h#WL\$ڨ((FEM mT# 6%wC.I0QtjR5,%. +k=)L޾җ,`sɵ.~(l RFRI~.G[18=>NM +PE +QgVbZ"X +Ӳh +P̅BY +u.0y86KUh +|h)|&z'QgQ\y1rT$RYOP{5#59@Dp48J걏@n^-w4f~.w%GOB\;||koqi~659 qvxs$ۤ2y;Bm:i\4Nh>H IJ~lm im.wY6#vNJMo>i +h5N_C)՘q${J H0L{Hsscd|.wӖ˩^~lIYFog9ڶ!Ң7|pΣCR-QoV}R+~c_i̧iǼ1;i.tL1y靻$R>ik5vK#.K}h|*/m|X} +J"5(I9UjcS+kJK>LO<\'/s)tRi_'2JP4GCf$*9/bKЎnZx,y9߹yx{/5OFU4r|re O."=Jk;W1_{D%{Ր8Jt?Vвc ++8tV +rWI)/-%g(y:~>>Ofq1Q-#Xa8bWUn/Sepƞ| dTęJ-@iT +Hr*aɪ:$Lk9%4TQ$X?2Wx66ٕzs#}PWo<%HdQb3̯pL 8_ϯd]a~uv7fpGlhg.@Uμ^>&$Yו6|o \CUmW}ouvgwtf9\ox$v}x]oC|*e۶89g{[|.&\P|,ߐ7CAckG:c\@)kd07w溳#P޾a r,?om}sh()x'&)Xp(c@7h {xs(+A +{z~w0ߝvy~ 6ã[;G!cl,?8%=w BGFi\0F2E󻡲 @w6wu-!C}lmcW 4\ C G{z]#ف]Y(d*@CAU]HKlA)vRs<|HArK:IPs)Ps|Thx&![h'ŕ΁>h>#n~xd 3 a!a~>ݝC$ƑA#{cWptk_$<:v=c +}YhaOnyS@vXĊ\z| =5*x޺;D]H)kwdd&ؽ{w|Tq@DȎĎĎ#sGƉpw`" +mŲKV[+6^/_ظquNSӾ3aKj C,-Uj( 5eI@)y,e +z\U?JIJpvd~8z$|)L%$䇇]}",9Hp# :GҒ2߷Ot&̣@n%@\buCS +"J5teB>Vl\8 +dtesR rRQ謹>P|d2B ۘSfy.@з|DNH +nm= *(_]\MT2Y^N^櫫*3WB0YL2- 1`A~K[~[\c``O:ɞb|G+m6V>Xhc壍6V>Xhc壍6V>Xhc壍_n\q?W^."rg?yߜ+皸"3W@h_e53+,|Gcpx9?w +]:bB3d$Zq8LU|sF +X:isQO.Y"U D`z5s(9*` +5W\ׁf? #: Mb2U:ܿ~J}oRg}=\OM~at΁Ch/!P P-Ć}}09z 8>ۉ>ހ|B3a,`+MC`$}Ewy/|{;JÍHavx1ֻt7@wCMt~) յgmg8 U~=[~n[-$; + AT9/P π:Ko +\goxz2BEݳ6j6,8pK&^2 +ofTZb*,M^vA14P5HxN%^v/W%4[ZP̖Zl[TCqkTJPd^z[ǶY;Ik`5Ú YlB~F~V~N~A.ʷȇrAAE3װF +IjMFQf A +:: <{=*'@Geus` 5-jHrϹ 0\8Z _:|e.BZA(nI} (9u@(tD.FP[W_!@3͛#\%iy"us "$<~O<h~tl5s8KVP$IgaE~!4g3@ +E@ +☧A0OS`j BY k*)V^A,dVH1HTJSdykt?_,ddO Cv Cr,x +r1pd) @K'`I.~JLi!FQ4.huGMXOϒ?bC_=[+&$Iϋ8x/g=L3Jp8]:㞣P'Q%^=͵Oz18 DnSy2``zS&YIBO@!?ʺSL%RQT1تXVPR)xEHQ4+JRT+JS2J,͹rɥNLg3aaK 5-5M+.XkP~lQ?_m%VvMl0E[>}g{;n8Ӆﮅr4!/q ۮ:Gy)C->OT +){nx}ۛ&x 66dѾ$ٸ!3P-1)#ސOQo'z^A<|z +@W+1FWqobdi6 ZD#+"4HjegPN; +te?`Q_v3Ƭq1 jgv:&Ʒ$ڲىg& +ήq"Νm.!0)t6;ڧٻ銴ϥtDDHӇ87GHZM$&##45KpSƣJ}u9hKlơŴs,:>:!4 Ʉq*/'N; + S1&CƾFGc:tZڦen8Q;Q8!lih:Fҍt틵`jE7;;{''mcOdm86"L{d8Ck!ZѺGT4`ļ{ +endstream +endobj + +37 0 obj +35865 +endobj + +38 0 obj +<> +endobj + +39 0 obj +<> +stream +x]M0>nBba8CeBbHKpn+zxLaw91 +1n}j9Kg֙kZYs> +endobj + +41 0 obj +<> +stream +x t\ŕ0\^uK_Kիl/Ha%,kb%CC!2F6!(I„&@&8H03&`I߭zO $039wGVխ[w[Ihi^"cGloӺ¦#_w_)Tm;n]!_; +wB)Bwc]߀P fǔz{e/{;>j eaKv}N +yڮ"C ]L h%+HaerRNhuzg4-V? +ykhJg?NCzsvYv䟽t + +Iѵ(DQ+âz ې1 "9NAȏV&dFП=_pڇh6Ȃa|-G`X@h +^1Z枀5ZnCw`=@O +Ͻ +3 84a&t{]^[Esh%CW)t_d6TVT^= Z"Iܳs'wìo3Y;H@o\7XC**oE`.fkZ + 4@7ގc~^M)@{)|bF&_Pz݋~AFvlZWzXZO,6`/^ 3_g@_8૘*jy̾Qv(+х=CXo3=QYݹ%s ܫð_ߠA^ + s;!@o.]\CXX0 q)r<_g\if7͍}yAWZQF^Aס' GW402FV&U|3~9^^*dDvVndSu2Ojo+Bד}؉02 +fڊ ?'[]7|y'sua}^A26}z9\dpܷ^RHB]^oϏ_߁޽F{ gl݅-^S:>22>fs1s56sMal5{{k#٘8 ?{̫hg;Ay`sEtnH +wDGy %ѓOe +|IA3h3 O9VW=$S ҆ [{9߀on 75~(S,511t0#/X56g׳{-;YHV-[!. +JVyF"gi=IśeIQy!Ws ЧF>k_$e9f#fMyPЉ2Sw8}!Y^^yC72M3ƁM69p Tvy:c@%`mv] i*~) +4%t/$:8.:#u|Qл=$z:G,wRؘr1~gL__סُ@78ݏalVD/s;Ak&Y zc)ysuS +RϽxc"~TM/B-\t3:ΚQ̱?VS:.v?o %h n[P@@[+l[i<v2ZoDh +ЦiNA#G R#:;A׀dT+ eZاP-vk[@0& zsb#XW<&05xZ:Oa=^Œz +~v +hzx9JW6^@zCb˰B jp&EepRֱϣ?5`¸6 +=r2Aٳk0=Sj# Pa} 3^gl/k'To*U,-/[RZ\TX͎23B=nWaY-T3uZMZTe,QvOŊ(c[Жࡩ|F1 b + +PE4_Ie}3_i +7R u{0u 'vvo5)xJ4hƱS֗3H]}#$`}3ѴDߞ@!BQP-]&M(2| +Ϟ:p$"Ngk!k#n]º\&76_dzxR=p`?8yq--0e +m`雀7s]Ks_Kd'dWzv)Pk.m8$cޤ!;~ooեM~~O4{3$@[ (D bB%(Df?i KЁ%  WN<#ĤE{ɂALϯ@(s|t'rrN~~Ə^^i|'}IY>(~hNj| +I,ZLU$m DȀ=pG&]r; $n(hmʙ +SYnLT! +hݯω䞵E"1NsPf0;-3E,\e "Dp|Cʜ/A-eTs%H1b)4{ JJE[`S[Pbb5{1k,$E!?;ZgV]R넒都WU2ivuKٍ}nk|I;@'.3[bAR +~7;Ͷ@bYaZ֚֟mҔ }%Kc>!\b}=kد{Ӭ,XVGS*V*-7X&qg(U) Fm4*`YzԏBF_DJf,F\_o]gB.mP^)V_tÜݻkXF+IL\`q:5>3C4Li=7H6]Ane&9,n6:j Q#pk +AIJ +ߗ*.2XEߧTl̜zK}}og fw)~x -w;`[}TrX +y}k k؄LXnؚOhOs+b7{(STeYg٬`zG)b求+{ \j@3= =oUq|*8sZ +N%{okϴMՊvkRK-.D(!nsSbz~f)݄X7!UdɹSɴ2ZI_IJ4iY9f{DiTb So5ڲ︼8Z ,y:ku0h]wܫK<L0(vGun3~Z;V*4$N4:OͨmmL +C`kp-ۉUy\ލ,(W vSQYViA[Z»TI43PLLS "$Dr +,l""\rDMf;!Ptkm` "G&I}x)8] +V#OKBaTUE͕Zh;^QQA?hSjJ+S-iFa9lN`@eU#YF6QH Yzg!]دhG6 @ Y=,}A04ƃKKJЭ9!ٺȁm˲“#;W;,%d_i%9;[}_? +\zc>oϼ;{}ydh~ +:@Vt#o_jmQny!\aA*V:$'YM~c3`ݦ)1V=9OZHOb~#@ +EN…˧2wZ=3% W9L|JOyǏ\Y f6e$N]:wJoGe8*.ez6(#<"\1G%ȶn?T4>^ZB[Ɠx`F[ %|˽wyxLBlނq/ m8M`_c\5N};G9ލ_y}G -3A/{}ޖ{Nsj~>d)|(vSʠQpJΨHͅM(';Ƈ?uԝVѤ0GP,%8A#$Wb)?'r]M[nr?!Ái? ̘#$ Ǖ%0`!-(%"cּVrj+7o{_X[P_T[g]Qd }T|{_/9]hY +bסdn ; Zf-O⣂X5 V@Fm/.))œjqG[.7jF63ps]e[-ώk(h{V,Rܥ*C*@ +TXAKJO$_4@HU<~!I x2& Kqi`! x~/ZUbKJI)QbifFZj +B3ܾ"ĊVCo0x ! ˊB$Zti ;+o3pN+tD7G[P)eJ5dfT5s:Q=UBv/_1lQ3$nhkdf`-C쥑榍R<! +: (titrE^(=/qcҥqV(pc-p"/0#|8&nU= _Lu:5G'egOVml\Cp+'&:aߎySmfβ;}ʔH!Vfi533O^kJ֧;̽m{KW?zŦrVC^. g)L4K@pz"_DʽIO1bf)"VEr,ssY +lXwYqxθ)]QeK ,8,ەbhE)V$[8<< +arə3i'ǽD +%‡8$|ūx? OD>B2{%9k):#c6wɬrYDc׷Wծ),K8,ț,yd{=:!x;2|oY2o9W3i*%PWSmH( +9 +8QP2:*3,-n$+ߠ,."Cg " !tp;3c,˵;+&uqlZu[6Za7u<귳/eVTUwnϚC)\H~D0J =oZM6OA =py}+gZ̐+:2Jyj* H|HRTh]v.߼ `TƋ+k:Ubu`u7GY6C-IѤh0;M-G,%;[F>ێr PIKb \YTl^b^1ƐN1v")xf<yn"MTU!Ntc= +LePq-FŲC(V} ~d+H=yb4;)IP^!dZYQZA\j\Kv +sݝu&UGӴ̪f͆pϩ +ڐ2OG|~ŸB'^hZr!AEZv)ء^ANW` ` iLa*ui@opLhB$d2"MaeEÙW yaA+ + j&4!tgf"Gj$W>3h*#~n{Y{(\C-DΩr^jaROW|O^|&X^U{IOԣKZrWv2=Ooqov'ݢ*bű+3t++/ٳd{OJ}F>^+L[dv5,|bڋ~hUȹFSn1?>BaS+OaSRBDo@}\rV K.Yz+X/.weXJ2sfl-UiLrlY8Ge16BiPS2Uݬ|LyBRa OoE0ȺHdO]U2`9ha-|A1J/o/X8hqdv}Ьٺ+.6SӃu@$׋]ǐrGn33/2k!Uуfft!zNҸ^; +s7 %VW!%|WF4#W(2vfR!Vլ˕^1JvDtenU8AV|`izoaћPao{OF +53kF|وG=7"DWRF)!=YA^q +`LxU +QlƲuK3ork,'\&-8Y3u`bpϴ2-;V99ZcƼC~^4*w1>w|>'>w}~aapzRB_4N!e e*E2&{xLWn&X\.sWL};#A" Q4K1U]杙Zjˢ?ؠ֖j]!gB&мۑ#Ba7םz{ʋ/9^JgZiSMkuX3ԌLG{:J23PR'p,:P,L2mVVm?LS׋E)U +$֪]仴;;]ڎ^tlk)VMOz* W Q`1x7<&V+P:yikz +cUpn. +AyǠ3O 6*2jAKg ǩ) r pX;;@pD0'Sc3i!1so&epO~ +9Pם5̿V@Fn/2rHj@0sL:~>{7~]|'^rwY2^%.݊ +.W%i0CFcիR)ZT5f2Jn73f#'nrb^Fl"? H΄!ޝуA6*|v?,o!Cs !Z  +޽^AA:x7,R\/JCqj*NwNOpt,"y8Js)AmU!> +Mν' iUr([wq:&Ǐ\Ϙ~: *Z5|ǟ76/n^z]JO0wGʙ`P_m^ -SCSi Z\%j} /(mISe#J8aKIO +xW+'ݩWNSX9[ûOIfP.Ga%y@gϐEgd=!!*}ZdW\E~l$eY2p5LMeÿ?\p}^Vϋ+9&sWIj~YУ+NkD34HL]ghg:#_nGD<ThUaQ3J;güvx΃yOgG9`K7D@Tm>x#aISl.5n-Δ lBkHf{r2K[q? 8DEP`͉4oꃺ2O0ۚm "Ay- \''pT>i; %rPB6wg`,CP(;-A%pQ@.K7 үE\p v9ڜt.lW44q0jY!- f*i`@!V\N $.X>"0Ɑ1U+E;aY3o\`*:sri|F>hnndօMvpU#P@!!#7}J| 1D'jTQ.:?kM:;yvpA9e8>[ݚ44#7f@׷*WUyOϮRB\Z=-:N靭G. atǡ(UNP*BUˆѥ2QH0ZppWCj,Be<BY2 4Q33 q1y"xYM6OFۺ~SӺ:bZNOIP.oL@!wltX:JE~,꥿E`%XlxR(`%z ` +1`5 +7{%XT"Zԥ^!:$X.dcd0.`Ɍk$E%& 4W$X;$X,%Xz?`J5eJ՚Jp +* ֠2soiӱ[ߒ`=ʱ ``ʱG(,{PAa+_` +o~LASXZ[,C)&ux%H0\& _gÜq :/ _K07% @.|]wK0|= `o +^_`Uxh7E,C(d/ GQXO4?rP^. +stLy©٩ <϶S8Г]%@Ov#О}PQ?# +)~T\It \A)"D/`'|Q^ї%t g + rR%#0J*oJPmP^c +#t00${qq kGkEq-u;?E{FtuyQ&{aH]0{n8&ctў6~Z?#>6C.WZ Xuȁ:f@1ϣ]uv/ìÔniϠIԥ~X4c+NuTm[XG(JC/I|"fCf?Bypj!*c".P;_}:(xgKkE9vJ2꣜9ct!.i(yGhKI$G "6Jp%av*AD>R޵C]']4bȬ3Z"ԾpXm#ԶQ>d?L5(ZBġ:G"hXOD sXQDiB,˨QisHv(Hlc*jN9v.Ђ/'K1qw5TsD__K}_?uR=J9,4J.D"3@{l_i==͢tuS9Ƥu$Gv7L%?zjHZ)ʃ컃 J~R/nL(LhO7dƈ: +cv:vD!mvS)}u zTFϓ1I7@e2vf'\sy?G7z#y\fυ|zB +tnD8pF,v]T>*kJ67@렕XOZ6@Np÷nD:Bӹs'|{"_0 qyl3Sz$)5ƨίIvsQT#ӎEgXZY}1JE=oV#ֹS{H'|K:q-,򁋽hI]|}6:%O2D-tcKY|v~>SYi=E)1zNĩ_ 7Igx}JΏ EO +PH^Ȝtqޏo[.y,C C\\9KF'|a碂ywpzr߽ڽC"E7=_юJOKn,#EO*վO`>73_?: +e|'d\:O?En;ϲy>:]-(.#p@OHd%ݒ<jQ'"yB 07z$tT *IdY??r?p@+7vhkb#=}@oG_}R.;JZ}0.,/ +YA__г{d >س#>̯/휟}<,&=C]#YC7m+mĨCvo;>~P7mb#|ȯc}|w8rf.o +t-nuC]=}H0덏 +C=}枎!~Ml37-,3 cq~d^]=C#|l` h$$7{\ ; +ć.XaWwOG73 +m}_9wChp|ph;|_Gs vtćF{)i|Ppf#ֶzNq.`uƇ>Ʌ g(A>I' } +r㗍{vaq"U ;$F`v¹yy +!1X&6 '/>up\'a2)>4Q]i{D6F;60HO0>*' 3>u{{Ɇ{AG{z{F`с^@3oZwtAâomZ1 J rwu Νל]v=7wK;с>!_px/D:d+VVo\n-_~zzk7Rt)v T @t1P0Y2pX(ѿQe< b|/0cۆq°u@X#10Hxt ,,el~'xGȧwocc=Ď蹐M(o/Q=;z$Mx.GDL46=3MցDvATc_ce׹+g7LI;`C=p H2v*"[# 1XL볧$/ ։MP\RTŗ/՛VCc^~~Q䥅|iIqYq.X?4FR˕ȣvHa >#hw }K ^j|.^>>> +/|A /_}D_ ї/|A /_}D_ /{ +Ӗ˩wܑx +-z;x >󈾖x/)yD+Ϥ>MR$0J#T~ 5f>ao3>;x&b&y0WK1/E؈s,ol!պ]\4EϠGdD}}0 e$̬c#H/xJ2 @NZ%kj$dLUz#C2 ^)xicv0& . +Vcg& B5 +5AbPGSϾ@bd4,>6/]C˒ߍ9 9uw"Bs`^Kg+hNc_zcJ7?Oٟé@wcQW!'E}R/df:} px^v{? +j +$g.x}ݎ4`Y=> drB+8Xe'aЈ]4_'a"X!v/@ v2C0{uz}@fI +I1LU{7p?jg&BK +Pu Abo51yDPRMC4䲯et]`ʱ$p++ܓ; WM+TvńV_P"Z_ +dFrp$~Tku bWSNHk `H#T!IHBFF MzCIv 2/<ŮZ4('X$d6'a0]; Iv-ڤ/6'ShHE婝H1(b$dwlx"Ze,[*$"B4)^h!/Ll%A: )I,d:E[ l AbA%=H *H7Cz)Hr8`3U]μD| |R| $?P"{^x/> + + 7QyRCf +]^Ģ\ȫ Ӛ`0wB.0wLdddHB Xb(Zm`))IH榘C:{(L, _.r=A BWQ1cX' ?E/J!cY݂A &4O<̑d&ńX$IQʰ{~?]4&/Auɯ{NaV4Gh~ ~}/'{|>ݟ|n.tk|dLLt V^w׽~~u-tphi~͋(yt"q<(i@'_tlJ2\dմ` j( p$HM6V3<q)>ֈ* +y2\ijɰ].(>Jv U!O4ߓ]߅_P&GL6VAhN"PM8`2 p{ɰ]䏬}7u Ivdf/ʤ܎BN6:{0HfH6Bџl,b{P$+O8](L)%нUH+ʤb:d#aIZ륍Z(H +3yL +c]<-bJ%_z6=;ZYI;Vt]i +tKA/ `hNG(k'~TCNaҐ|Jy]QYM2*4Iũ**ER)T2B*ĤM!-H\Fa!9# +%R +5I% eENLu| +I~KB jzc +mlsduNCg_U')jK 쬲U*e +uIy}ݹ?*E"\[WohN\qH6 +!&p"?~5Axbξfõ7%xN wt2O}:~|Ygt7eq\yY% + k-#-!kAҽ5H$kҵV_PW75P +KR`4)`-mNoKky\HiIh5 $V.0iҥ'ejy?(uqlנ[}O(|"mbH@#4L[4zh8R> +endobj + +44 0 obj +<> +stream +x]n0 Usj̰nR-,v0O7@\:@BjhTcgؘ~* |{V9A4\jY#EDAY + +nòn}_jA4& +\!(E]o/8ҫPC(K1.CN;8338=D>p9:sdNrL'!>sMSg:HO쟢d %9252/SsGOYNy5VO9[Ez ;Y̢s[w +endstream +endobj + +45 0 obj +<> +endobj + +46 0 obj +<> +stream +xy\[ǹ0Fl̿PN}kBWϠFTvAC5] qP*@FV܉ +݂l3߇'gd^G ڇ~w݋P>.[4$D:toK_v&/_ԢKAt p!W2 :-hjCNtN Z៱ +FfG<*Q=.g3g$FuZytEl w`N b+fG^-0қ]QmL&z +E0+эgOX/1ٺ]3'f%hJt74: 8%v5nGnDR:}uWf3B:zԃ!thE-kt}9,-&r_f@5Q=`2t=gw0# 3ZF1W11oGsi̷o" +7U +{}B_л`rǧ33C/%<0!R%[\`,^ GaNx ߂wȕ߱w_g0W(yEV֙+{L3}'ojaI诀6Wu2|ߊĿb3fŬ +a=l(>Co +0݊v[F14P{o7 +oc*l>ƍ]T߈/ŝ_Ə_?2z[33Ǟ`_d܌D%)o?Gdee_pp_Ug-p5{M3g<4ՙ'f͜ﺁxQ $Dwcs6~pD+z7o9>9qT-x;ށA ߇s>~11qY,cV3.& 2{G'w?g~d.*n'YI-%9 +oIU]cHߐIer# H1t;HppFo3'G7hq;>"+oA3G e/.Q23@ˀ$/rUp4CY%2fvSa܌ρ d2>dyd?mamQdEi(ڄ!*$Q.srAmaĽzy[03/2G)N«a y{(ye}c3I3"\ ˢ5@Ϣv]؇ćaᷘOĆLȑuQv([=8,`>dyf na+gPPl-affnTv_<s܊#8+%ejɛEhi +cEP!~q޽PRq_ͼC[A:a&m9kPW1la9nt;Ӄ!G +z(xPk3`M#P&.JWVe%x(ZX zy.nZ&Aj*B.J81t?6΅˗| +:lh:6Z_XS;>RSjb=_j?%~oZ) +PsA޳{- +WT4n ǶZLXuAr + jX8,!#gknl\ڋqCW`8 +,Ei@_3.md6Fxѹ&h;ݹe8Na{_BƆb<Ɏ]Ϗ?v>roo>-\ml)&D{BOT&HJŁ]ۀαqn7t +gf^AF~mc7^ +w.;nFcFO8ޱIqq!Z53 +j^7NLFh.xL2hCn C︢aۘ㒠>쁩t%Ҡ=D@sght cTqI揁A= ګsPImsymwM !mg'fX֓'g5=I#˸<4O{Ʊo<7n7mqܶ {^9LM +Y#BOU&q.'er`EZmsv6yf0ǫ ca\in46\l)~ضə>0v|m9{k|M0\ʠ|C릍gжqLö3hwMbDeuM22=-O1 mPI M2;pH)>!J>`IKGL׬_iAu?[IgpC>s +'yb @6$~ķ WdOW2uuՁU[ +WI|$?+'ݍ=n}_M!œT\XpT%C*i +@yQV}I%1`1z02c`dRS-c?GŜC LΜ;JZ&O W/'S Mł ua$TƀgMfdF: G; F[`Lw1S\EWꟇ#֟ߞɴD_S#jhux/fj-*J+[Ij +L-?lnJ^1'kTՊlxd_YXy`;Suv>l=ܪ7)kf`g|pQS%JjvYG-[ˢXkޥ`-mh-G5)H3)p:TYy\]ScR(Ct&)ԘBaעXYś].>*geTcת\EUc+I\5OI;&Vn55ILLRP]B ǂFt*EPfK.-JTp"ɄKp=b]E +d*|&"&Pn\BXG;0hzܚGT~\oeN?~mhٮ+>ȾP|N +6HE4,15mW+%3o0;K NХ,y]Cc6]6kYC;<0QJy9?MetzBkIM +&D F1[& ĨO=SH3c[*Gշe]e7qWgؚ߭g0;U*LCs%rZclm(x*v{£QV;ĴH˜)!1)J@1!$SEDE[3%HiW*@@czi7P˂O̝a'_,&p&I:aK$/EKDa*N1.vTl6W:*sRm!uaJ!}y6Gltxo*!~Lsʵyy|㼿䉐]Abp)'g~wd5M霼aB1"2 M&_YWЬ,Ŵg !͠ҕ^%`<0 ,.iPMHn0'W,4۱R5jVRJ皣fp?.a>ZqBQR%e7&gvǵw(+7U97L2ZmWXĖϩ̓ՂQv5);ܐUvTN-)#C3Cx}B!((XYF@^x1[P|pyy(s' (^ +ré(o+]s)xQXSSףUXu!-..,&~%+Ϡ72C:5>1|#՘n + 7vyl 4E1hXbe!)NzP08z),mT ^Kk > `Wr_ +_)㺣e(T6}pϨHRKmX-@V-8Rg +b1qjC!Zc +KCA+Wb)*s&;!& +HA組K(>9`lL8z<5cgpY`쓡',ē8AId[s4t8<њܚ:~͸K-6K4;Κ,њHitԑKbȥ:BSS.&:|%-o^o?&5_S`C:t&>&<;y@Xhj/KX9y %̼3oT§)a貈KŬ: +(Fz7mer7^Z-_isw X֒aK~l1{dzfBVݛ={%k[R2(&ʫY~~z[߲7.V(6"/ +Tj{U ZTF˦H^B/ܬhTFOb_t/٠9Of,sne4P$Ak@J 5 +zW9P>JkRM){(Xh/%lt N } 9@$T=Jr `i1nzbуI1G&ɚL{Nx"䖿nj7̆ +R?}t`ʂ{A 8Qer^Ar*_`"`ڳ\$oR,Wn__w:4, +~p~ +BBrGc.Aոܮ8k.U (U**gRaŦkOBe/wҒ3;wDhDFtT0:(e3#px  +u-O@#æqE߆dcb`vAvզ~2??wڱ>/Ox +گθߚW>ueע _ͻ?&-f +RU)cT0dQ]l46E"5N N,֩ t^\*Oa ,j8S IlF;dA#R®UI{(ۂ!.͙q[=Qy +[s*QPye<n3k'u/`v +uR{;rM㢡n{^;O64EkSalV QP]U]IK,-H:>{]F*wTeif}qgY$܀YTa@O\[sE],]QaQnH"1+{E9 | -S_~<Ly0H(u1 8Azo!]?SRZ>h+ԆT*,XһNGil_nnkM +kPb0C{mV'ϼV j94dJR>T)vC]k^VaK-mq#,fKQEqEԥ20~jiDWJn +o%YplC6`̅#aCy6Qs,y%B49??9I[(5C0͍2N\PըNgد5Δg;Ϝϟ4=A݌"dQDt,ȱF +hpIeU,~N9J\08?NSCu5*TLdK/XYzֿ k"+>Ov(cLq`P @j G>{ +XsvwUSFu(§{2+_n2 +du`H8~2O J%|Xŕp5W.瑈!8ru a68(šSK%$䦲'SIPZTҥtzSI,-[-˸UTz}]>Gi<<><Ҷ!?SXmJR6Z$SP C!S+Ulh񖀹,fD8Eqk +MPY>/KOz“NO_ekUHȤG,{"t̤Q,bR5ܓ0/&%{>~'2M)U9Z.Kp5]R .GfQR#J\W})/p3bUY&̕sECgY-tDY)MזZA1!<k^/Y*]%S,>[ʵ"}Uű\.`6Ҩot_(Jo +׏܉Z_TEkUm6"|י]*+[*aH "VQ5/Y@QrG,XKǸxdeK?sv[-{T/+:|_>e|xc{go&vb]_|>yr]v݆{66V|sVo|rū.e_j; Mu,u3G뤂޶88cv"@ʝ@ pk(Xå'IS%8 @?bHZvbӕС:0!Ċ解d?Kᰗes|4s6rj"޼K"a*]d +'L('xm%߈B492 F\J_DSuC.Ijo_Kj}m_ҮTu6HqMN ٹmBJ7kN)=3J6]Vϋ5"X#,r" +"pm&Fߑc̗OyO@99F׆XkB +)Xi"hcɈat+eA@|H+=`ŨNZU4^ +q"G +꤫[RA'RQݐ[+K7/$:4[*=gRs_kxlO!C#4WlUz^ǰ=}<uqɝ~nɺ/ 8rf"c*Ccwǁ 0h4 +806VvfV%-U՗֬_c*UZ]Vsƚ# +i+1C_<>Vu5.yT˃{*v-aס%ֱGoXrO#I,WuNK#8/hfFYhmA*n(0jN %2Ywpx 悂[]kEQroS9jZJYIV77c><0d,m/0L@>Vejk >Q}Cd^hKI E/MN@#u䲅E4+@;MLVmk]\Zur2T^(5--0o{!V)3-Dn*Mj^mc崇gоϙL +k@[r!ɔd%[>1[7ZvL"˭v6E奺tH$rJe`FvfDV\TJ**X¸Ϊwb;C^'KJ׹Vqk}vCŸ].!I%ȟR(<p +$p.1+RU! HX1FLߧ?00Jj5F#q/I\"=7;>rh}X9^NtA{\\à"] &гiX,EbQfCpO>I +s~UmWf҆쉦U]έouU2evj}X_If%~Mwط쪸Z!YԶ_'{gr*/ yf>o+^}nh`P߄oןlվ1;U-.McdW΀<(.Nb,AsITrXf`{ĉ.OR9/:RPwӵQ'O( hӥ'?7&gP ;5:Njt0l&M47tGQ({TzTvT)~9a>>>Rػbr܋0\p˝> a[0ݎp  zazYסD!?`':̕$HX.c9*dn;WկݪoNPJ"~WBUr*pb]2%I6zbu&S!ySsM [%A[d05Sԭ/de6ƞG +.5\;zhQoKP|UaN7馺mjW|QƠqH,mvë}*⍛t&I%p{YWm  vUnd7k-:\T{nf@;_9=\p~u*$ۊw__Wx]}{,zB}L{L+gOkӟ_y5VⶸTjWkW诉IuŚ''Yt[|yDi(4ʒIGD"$)0 +I!8aJ +.8XsQqK܀oQ +i3瞄Y\jp+S )` kt]mbP6Sazf*djfMP)(c:TC!-E~ٱ?{7îKTzUIwa^=ٞϴ=? +;}^đ]R|_%S {pCWڼ󛻬Q/n~ ts-9NUUq]ehT/vmḤhCM/V5 +3ʓ7tJcZ`{+jencww6`--nkt* +G3kZо9p,",Άt!SBNŋz5U I8Ā"Ez$=+w=g :Sp guGHd1doL㦹S>0.k\DQ~ +5pT䱋αީ'=|SCLxH$dw:PnLqh$oRfwo!TBfSST3TD%VE}ۓx}ȬTWѴ-V\l)*y+?TZSIXk{ÂBKk,Y99a)P>~ ˕XX.<Džy$ę4H0֨CĚ5Zs +vFkh|Od|Jh5zYnXxeX4"G'6(MB4!'ןDLSAMTN2^?z~j?wuj.wqv[4Ξާ+ty/=5iHx_ +;n]d}P-^7vL$LtʇߜF-NQ*ƥoZc3JF +U~tjD_us.=Ij#3EPoy2ɘ4t&e= 9iN I`5'shD2WΞVkys,{N5`&۸ cl`]EБ/6匣L>'_p:׼>y: O=2#>bv{~dw+?uǾrㇷݸ'_< #?)2J$UyU%y6myeROƲ+ow`kͷ~t޽x\2,+l5@-lIeIu&R;'8ۖGq#Mb|ܶoΞ)'$8"mcy/50쟮lϯx1d󇻟{ywo>n>g_^=nͦ=G'o)bf~%B WʭpMrpEtڅ.GwI-~bG'e4Ϛwe%%ڤqUҭrngV<&d2~f>\9 b#'RU*$?,2F&ta=OᲒ8r̘N79@ 8IXpsԚEIJ͹ޞ&ei` yI| }o9l&r8TaJgg;wbgǔ)_CڂQ ɖUr t [ OlVgs[+SY`Sj|wϿpĶݪBr|sgw( 6زu1v䳿rgo( +2QTդy)Ypܱ9xUB6 ]&j_m"/ǙjQ3j-AeUEgs}+oY/o{@qDKˀCqU~r~Fw<4Vj', ̡"|K?/Ra%a9 +1EXc"l`?'*4$]h; +k'ϊmQ0F:C3Hj",4laY + +",Ev",C}DXLFA*J1]"BiK/ڕYdyB(H0GuD +Kh^&(,%w'a'[!-*¤|&',,IS+ &唾jZ?na((L_-@VԆF ʠuAʣՆz(܂P?\#b-5@n`r^Z>hh -_ZI7Wgʚ ͽ@"TJKENmk{7eWUh},(ɨyk[h!x2 hWO}\ۯd~mߠ$gl229y(z#t.naf{Aˣsi+R9[o;Ɍ +ki<I!YbtjSsF]|Nwhr03-.y:pI[> _2 4~}ݢ&oNcl)3v~^-8W|ͽN_R:P&_/ȜM-rtZ4a' lE"/KtG7tмhq=?'U"\Ԧ yo"4ѹr0 +ϛMtQCŞ/-w"Y=PLfD{.q:氕h?Ig)\F{CmsG-؞HTrJU$"CBOV֣ffW@ QA[D"sng?9{6:ٕηd(:GzsTց}dov%tn1_׻gd_ t 2u{:F:zfS>jCfh4Nޮ#\}~mZ*kZ}̞Ρ9g~(wx$3{_9‡~1 +g@\OC=2;I^ L1:ߗ1 zFCݙ@qYi[O0 s{_ޡsp0)T')Vn0Ǖ0́Pptݙޝ{dx}0Q~{k`Oϐ" u{`2;ѡ]Ca ~?}ݙb! tQBl(Ns +Fhh` l$?ܻ?484@ +(?зPbǾ!h=D&`n^0Onq0z1ϋu]}]#Pi0i&34IyeM_gH/й7fQ~vtuB[mk߾/GA#sYWLxt{o_(x`T:;΄ Q_۝Bt@{:wv b(0vtMTպ{:Gs3C#dx=""c:=}=#:BH8D$2F +d'&V5-mjokZ__и_qUFQRٙP.ƀ?Adzaʀ-~HˮTXtC%U̖;we2a1ttz C\>K90@l 䘔PvadXa9A̡b1ξ}TtgF淎A"ARFggs5!0a'?<y"mgww/c!jH-%T_^i="#9L8o{_pyC`I?jpϱ/hqqrD +A +׀te 㦕{eA!W ^ n^.ߟ1@?/RR55J%]&zUߟڜkJ^uU8‰9- +N,On/^ۅ7r9m;~s*>gQ9$M'"ggp} +\?~B^)xi^|fꢭ&'/pz%;ށa'3iX*(tzʫ'@<\k0eށk.ٯMQ ܃cA=0C,)f!M8v_8w0aR { +ϜcM huvQTQ;۝cvPw3JZvfuMٲ[U^EӕGQQE`)J$фHS011_E4&4sl3{wΙ{#M MΎt5=z{Łvlm$y"e.t _S(.r +:VfF'0q%wD27:k%fP_< Hinc?=Īb7=VH_ FV/Wc߂Q4ŰwI8yנ<&AEp{Q3WF9(Nʈ@? -3 5rei̵2ur0#,\6=3È)3:,х +ˇH"D +hB亡ՊM3jXݐ]g@Zs +RQiOb:xw~cw@NKKǀO)eҠTӘY.;A +Q $D$ c`ū4V `\et(.JS2JI-eD!3f'@I3i(%d=+K%ՍITW%ےܤzsRQY J\ȹz)/Gԧ"#:Q5E~9_VƻIiz~U8Lm35̦F@qL'aZ >SO=G\0f@^}:{$wm72 +aH`$OF7 ~#r8 JOK^=1Az&dO`H9lжu^ϋ^?2K(x*_x%8q/q~KDMapp's)QwwMOL@<$?$e[&OSJ@4(uL+Wq\:@Pudb(aTaL,te)}@~LVh I~Uo{Lɪ2|d=|gQ{D:.j/b}U^>;tzPJ9ZpLΒȚl{:=#N>#/[J4(m="h<oH;HqziPf/\vsm!%)x]p_ǩ s& X (Rƣ1 Ǣ¼Y;<a~̇]@lċW&)b8)ŝ³ŷ2։%it> +endobj + +49 0 obj +<> +stream +x]n0 _/%ɱ q/I""g1]Bg1z.?:367cbVL1AçiޭuB +J}2ha3̢ +endstream +endobj + +50 0 obj +<> +endobj + +51 0 obj +<> +endobj + +52 0 obj +<> +endobj + +1 0 obj +<>/Contents 2 0 R>> +endobj + +4 0 obj +<>/Contents 5 0 R>> +endobj + +7 0 obj +<>/Contents 8 0 R>> +endobj + +10 0 obj +<>/Contents 11 0 R>> +endobj + +13 0 obj +<>/Contents 14 0 R>> +endobj + +16 0 obj +<>/Contents 17 0 R>> +endobj + +53 0 obj +<> +endobj + +54 0 obj +< +/Dest[10 0 R/XYZ 76.5 703.5 0]/Parent 53 0 R/Next 55 0 R>> +endobj + +55 0 obj +< +/Dest[10 0 R/XYZ 76.5 542.8 0]/Parent 53 0 R/Prev 54 0 R/Next 56 0 R>> +endobj + +56 0 obj +< +/Dest[13 0 R/XYZ 76.5 273.1 0]/Parent 53 0 R/Prev 55 0 R/Next 57 0 R>> +endobj + +57 0 obj +< +/Dest[16 0 R/XYZ 76.5 461.1 0]/Parent 53 0 R/Prev 56 0 R>> +endobj + +20 0 obj +<> +endobj + +19 0 obj +<> +>> +endobj + +58 0 obj +<> +endobj + +59 0 obj +< +/Producer +/CreationDate(D:20080918221753-04'00')>> +endobj + +xref +0 60 +0000000000 65535 f +0000114280 00000 n +0000000019 00000 n +0000000513 00000 n +0000114442 00000 n +0000000533 00000 n +0000001058 00000 n +0000114586 00000 n +0000001078 00000 n +0000001515 00000 n +0000114730 00000 n +0000001535 00000 n +0000004928 00000 n +0000114876 00000 n +0000004950 00000 n +0000008422 00000 n +0000115022 00000 n +0000008444 00000 n +0000010355 00000 n +0000116045 00000 n +0000115912 00000 n +0000010377 00000 n +0000026334 00000 n +0000026357 00000 n +0000026551 00000 n +0000026971 00000 n +0000027247 00000 n +0000028969 00000 n +0000028991 00000 n +0000029181 00000 n +0000029545 00000 n +0000029763 00000 n +0000036800 00000 n +0000036822 00000 n +0000037016 00000 n +0000037307 00000 n +0000037472 00000 n +0000073424 00000 n +0000073447 00000 n +0000073646 00000 n +0000074230 00000 n +0000074667 00000 n +0000091855 00000 n +0000091878 00000 n +0000092082 00000 n +0000092490 00000 n +0000092760 00000 n +0000113142 00000 n +0000113165 00000 n +0000113374 00000 n +0000113826 00000 n +0000114142 00000 n +0000114225 00000 n +0000115168 00000 n +0000115224 00000 n +0000115387 00000 n +0000115554 00000 n +0000115733 00000 n +0000116190 00000 n +0000116305 00000 n +trailer +< + ] +/DocChecksum /BF9CC522B48CEFDE76ED022EEBE92D36 +>> +startxref +116492 +%%EOF Index: verilog_cordic_core/web_uploads/cordic.v =================================================================== --- verilog_cordic_core/web_uploads/cordic.v (nonexistent) +++ verilog_cordic_core/web_uploads/cordic.v (revision 6) @@ -0,0 +1,379 @@ +/* file: cordic.v + author: Dale Drinkard + release: 08/06/2008 + + brief: + + First Quadrant CORDIC + + This is a self contained, configurable CORDIC generator + The user can modify the `defines below to customize the code generation. + This code is for the first quadrant, but is easily extended to the full + circle by first doing a coarse rotation. For example, to compute the + arctan of -y/x, in the second quadrant, feed the cordic function y/x and + then add 90 degrees (or pi/2 if using radian mode) to the result. When + computing sin and cos of an angle, coarse rotate the angle into the first quadrant + by subtracting the appropriate number of 90 (or pi/2) increments to get the angle in + the first quadrant, keep track of this value, feed the cordic the angle. Then + simply change the sign of the results based on this stored number. + + To use the core comment/uncomment the `defines below. The user can change the number + of bits that represent the x,y, and theta values. The core can operate in either radian + or degree mode. + **NOTE** Even though there are allowances for changeing many parameters of the code, it is + strongly advised that the user understand the CORDIC algorythm before monkeying with these + settings. By default, the core uses 16+sign (17 bit) numbers for x,y, and theta, and iterates 16 + times in the algorythm. There are two arctan function tables,one for radian and one for degree + mode. If more iterations or higher precision calculations are desired then a new arctan table will + need to be computed. + + + The core will operate in one + of two modes: + + + ROTATE: In this mode the user supplies a X and Y cartesian vector and an angle. The + CORDIC rotator seeks to reduce the angle to zero by rotating the vector. + + To compute the cos and sin of the angle, set the inputs as follows: + + y_in = 0; + x_in = `CORDIC_1 + theta_in = the input angle + + on completion: + + y_out = sin + x_out = cos + + The `CORDIC_1 above is the inverse of the cordic gain... or ~0.603 + The input angle depends on whether you build in radian or degree mode + see the description of the `defines below. + + + VECTOR: In this mode the user supplies the tangent value in x and y and the rotator + seeks to minimize the y value, thus computing the angle. + + To compute the arctan set the inputs as follows + + y_in and x_in such that y/x = the tangent value for which you wish to find the angle + theta_in = 0; + + on completion + + theta_out = the angle + + + +*/ + +/* data valid flag + + The iterative CORDIC implementations take a predetermined number of clock cycles to complete + If the VALID_FLAG is defined the core instantiates a dvalid_in and dvalid_out signal. This + signal makes no sense in the COMBINATORIAL mode. +*/ +// `define VALID_FLAG + +/* Angle mode + + The CORDIC can work with the angle expressed in radians or degrees + Uncomment the appropriate `define below. + RADIAN_16 uses 16 bit values (+ sign bit for 17 bit accuracy). angle information + is in the format U(1,15) where bit 16 is the sign bit, bit 15 is the whole number part + and bits [14:0] are the fractional parts. + DEGREE_8_8 uses U(8,8) + a sign bit where bit 16 = the sign bit, [15:8] = the whole number part + and [7:0] = the fractional. + + The user can define other formats by creating a new tanangle function +*/ +// `define DEGREE_8_8 +`define RADIAN_16 + +/* Bit accuracy for sin and cos + + The X and Y values are computed using a `XY_BITS + sign bit accuracy. The format is assumed to be U(1,15) + sign bit + However, the CORDIC algorythm really doesn't care. +*/ +`define XY_BITS 16 + +/* Bit accuracy for theta + + The angle can be represented in either degree or radians. This define determines the number of bits used to represent the + angle. Going to a higher number of bits would allow more iterations thus improving accuracy. 16 bits is enough for + most applications. +*/ +`define THETA_BITS 16 + +/* Iteration accuracy + + This is the number of times the algorithm will iterate. For pipelined options, this is the number of stages. + This number is <= the number of bits used in the angles + +*/ +`define ITERATIONS 16 +`define ITERATION_BITS 4 // 2^ITERATION_BITS = ITERATIONS + +/* Implementation options + + The CORDIC core can be realized in one of three methods: + ITERATE: This option builds a single ROTATOR. The user provides the arguments and gives the core ITERATIONS + clock cycles to get the result. A signal named init is instantiated to load the input values. It uses the + least amount of LUTs + PIPELINE: This option can take a new input on every clock and gives results ITERATIONS clock cycles later. It uses the + most amount of LUTS. + COMBINATORIAL: This option gives a result in a single clock cycle at the expense of very deep logic levels. The + combinatorial implementation runs at about 10 mhz while the iterative ones run at about 125 in a + Lattice ECP2 device. +*/ +//`define ITERATE +`define PIPELINE +//`define COMBINATORIAL + +/* CORDIC function + The CORDIC core works in one of two methods: VECTOR and ROTATE. + VECTOR: This mode seeks to reduce the Y values and is used to compute an angle given a point. + Enter the sin and cos of the desired angle and the core calculates the angle. This + mode computes ARCTAN. + ROTATE: This mode seeks to reduce the angle. It can be used to compute the sin and cos of a given angle +*/ +//`define VECTOR // computes the arctan and square root + `define ROTATE // computes sin cos + + +/* CORDIC GAIN + The CORDIC algorithm has an associated gain that is: + + CORDIC_gain = for (i=0;i> 1) | (D[`XY_BITS] << `XY_BITS); + end +endmodule +/* Rotator + This module is the heart of the CORDIC computer and implements the CORDIC algorithm. + Input values x_i, y_i, and z_i are micro computed based on the iteration step + and the arctan of that step. See the description of the CORDIC algorithm for details. + +*/ +module rotator ( + input wire clk, + input wire rst, +`ifdef ITERATE + input wire init, + input wire [`ITERATION_BITS:0] iteration, + input wire signed [`THETA_BITS:0] tangle, +`endif + input wire signed [`XY_BITS:0] x_i, + input wire signed [`XY_BITS:0] y_i, + input wire signed [`THETA_BITS:0] z_i, + output wire signed [`XY_BITS:0] x_o, + output wire signed [`XY_BITS:0] y_o, + output wire signed [`THETA_BITS:0] z_o + ); + +`ifdef GENERATE_LOOP + parameter integer iteration = 0; + parameter signed [`THETA_BITS:0] tangle = 0; +`endif + reg signed [`XY_BITS:0] x_1; + reg signed [`XY_BITS:0] y_1; + reg signed [`THETA_BITS:0] z_1; + wire signed [`XY_BITS:0] x_i_shifted; + wire signed [`XY_BITS:0] y_i_shifted; + signed_shifter x_shifter(iteration,x_i,x_i_shifted); + signed_shifter y_shifter(iteration,y_i,y_i_shifted); +`ifdef COMBINATORIAL + always @ * +`endif +`ifdef ITERATE + always @ (posedge clk) +`endif +`ifdef PIPELINE + always @ (posedge clk) +`endif + if (rst) begin + x_1 <= 0; + y_1 <= 0; + z_1 <= 0; + end else begin +`ifdef ITERATE + if (init) begin + x_1 <= x_i; + y_1 <= y_i; + z_1 <= z_i; + end else +`endif +`ifdef ROTATE + if (z_i < 0) begin +`endif +`ifdef VECTOR + if (y_i > 0) begin +`endif + x_1 <= x_i + y_i_shifted; //shifter(y_1,i); //(y_1 >> i); + y_1 <= y_i - x_i_shifted; //shifter(x_1,i); //(x_1 >> i); + z_1 <= z_i + tangle; + end else begin + x_1 <= x_i - y_i_shifted; //shifter(y_1,i); //(y_1 >> i); + y_1 <= y_i + x_i_shifted; //shifter(x_1,i); //(x_1 >> i); + z_1 <= z_i - tangle; + end + end + assign x_o = x_1; + assign y_o = y_1; + assign z_o = z_1; +endmodule +/* + CORDIC + +*/ +module cordic ( + input wire clk, + input wire rst, +`ifdef ITERATE + input wire init, +`endif + input wire signed [`XY_BITS:0] x_i, + input wire signed [`XY_BITS:0] y_i, + input wire signed [`THETA_BITS:0] theta_i, + + output wire signed [`XY_BITS:0] x_o, + output wire signed [`XY_BITS:0] y_o, + output wire signed [`THETA_BITS:0] theta_o +`ifdef VALID_FLAG + ,input wire valid_in, output wire valid_out +`endif +); + +`ifdef RADIAN_16 +/* + arctan table in radian format 16 bit + sign bit. +*/ +function [`THETA_BITS:0] tanangle; + input [3:0] i; + begin + case (i) + 4'b0000: tanangle = 17'd25735 ; // 1/1 + 4'b0001: tanangle = 17'd15192; // 1/2 + 4'b0010: tanangle = 17'd8027; // 1/4 + 4'b0011: tanangle = 17'd4075; // 1/8 + 4'b0100: tanangle = 17'd2045; // 1/16 + 4'b0101: tanangle = 17'd1024; // 1/32 + 4'b0110: tanangle = 17'd512; // 1/64 + 4'b0111: tanangle = 17'd256; // 1/128 + 4'b1000: tanangle = 17'd128; // 1/256 + 4'b1001: tanangle = 17'd64; // 1/512 + 4'b1010: tanangle = 17'd32; // 1/1024 + 4'b1011: tanangle = 17'd16; // 1/2048 + 4'b1100: tanangle = 17'd8; // 1/4096 + 4'b1101: tanangle = 17'd4; // 1/8192 + 4'b1110: tanangle = 17'd2; // 1/16k + 4'b1111: tanangle = 17'd1; // 1/32k + endcase + end +endfunction +`endif +`ifdef DEGREE_8_8 +/* + arctan table in degree U(8,8) format 16 bits + sign bit +*/ +function [`THETA_BITS:0] tanangle; + input [3:0] i; + begin + case (i) + 0: tanangle = 17'd11520; // theta = 45.000000 + 1: tanangle = 17'd6800; // theta = 22.500000 + 2: tanangle = 17'd3593; // theta = 11.250000 + 3: tanangle = 17'd1824; // theta = 5.625000 + 4: tanangle = 17'd915; // theta = 2.812500 + 5: tanangle = 17'd458; // theta = 1.406250 + 6: tanangle = 17'd229; // theta = 0.703125 + 7: tanangle = 17'd114; // theta = 0.351562 + 8: tanangle = 17'd57; // theta = 0.175781 + 9: tanangle = 17'd28; // theta = 0.087891 + 10: tanangle = 17'd14; // theta = 0.043945 + 11: tanangle = 17'd7; // theta = 0.021973 + 12: tanangle = 17'd3; // theta = 0.010986 + 13: tanangle = 17'd1; // theta = 0.005493 + 14: tanangle = 17'd0; // theta = 0.002747 + 15: tanangle = 17'd0; // theta = 0.001373 + endcase + end +endfunction +`endif + +`ifdef GENERATE_LOOP + wire signed [`XY_BITS:0] x [`ITERATIONS-1:0]; + wire signed [`XY_BITS:0] y [`ITERATIONS-1:0]; + wire signed [`THETA_BITS:0] z [`ITERATIONS-1:0]; + assign x[0] = x_i; + assign y[0] = y_i; + assign z[0] = theta_i; + assign x_o = x[`ITERATIONS-1]; + assign y_o = y[`ITERATIONS-1]; + assign theta_o = z[`ITERATIONS-1]; +`endif // GENERATE_LOOP + +`ifdef VALID_FLAG + wire [`ITERATIONS-1:0] v; + assign valid_out v[`ITERATIONS-1]; +always @ (posedge clk or posedge rst) + if (rst) v <= 0; + else begin + v <= v << 1; + v[0] <= valid_in; + end +`endif + +`ifdef GENERATE_LOOP +genvar i; +generate for(i=0;i<`ITERATIONS-1;i=i+1) begin + rotator U (clk,rst,x[i],y[i],z[i],x[i+1],y[i+1],z[i+1]); + defparam U.iteration = i; + defparam U.tangle = tanangle(i); +end +endgenerate +`endif + +`ifdef ITERATE + reg [`ITERATION_BITS:0] iteration; + wire signed [`XY_BITS:0] x,y,z; + assign x = init ? x_i : x_o; + assign y = init ? y_i : y_o; + assign z = init ? theta_i : theta_o; + always @ (posedge clk or posedge init) + if (init) iteration <= 0; + else iteration <= iteration + 1; + rotator U (clk,rst,init,iteration,tanangle(iteration),x,y,z,x_o,y_o,theta_o); +`endif +endmodule + + Index: verilog_cordic_core/web_uploads/tb_cordic.v =================================================================== --- verilog_cordic_core/web_uploads/tb_cordic.v (nonexistent) +++ verilog_cordic_core/web_uploads/tb_cordic.v (revision 6) @@ -0,0 +1,254 @@ +`timescale 1ns/1ns +/* + CORDIC testbench + + This testbench assumes the default settings for `defines + in the cordic.v file. If you change any of the defaults + you may have to make modifications to this file as well. + + This testbench uses `defines from the cordic.v file + make sure you have the `include path set correctly for your + environment. +*/ +`include "cordic.v" +module tb (); + + wire [`XY_BITS:0] x_o,y_o; + wire [`THETA_BITS:0] theta_o; + reg [`XY_BITS:0] x_i,y_i; + reg [`THETA_BITS:0] theta_i; // angle in radians + reg clock,reset; + reg init; + +cordic UUT (.clk(clock),.rst(reset), +`ifdef ITERATE + .init(init), +`endif + .x_i(x_i),.y_i(y_i),.theta_i(theta_i), + .x_o(x_o),.y_o(y_o),.theta_o(theta_o)); + + integer i,j,k; + real a_i,a_o,a_e; + real rx,ry,rex,rey; + + reg signed [`XY_BITS:0] ex,ey; + + reg signed [16:0] x [90:0]; + reg signed [16:0] y [90:0]; + reg signed [16:0] z [90:0]; + + task show_vector_results; + input integer j; + input [`THETA_BITS:0] theta; + begin + a_i = (j * 3.14) / 180; + a_o = theta; + a_o = a_o / 32768; + if (a_o > a_i) a_e = a_o - a_i; else a_e = a_i - a_o; + if (a_e > 0.001) + $display("angle %f computed %f error %f",a_i,a_o,a_o - a_i); + else + $display("angle %f computed %f",a_i,a_o); + end + endtask + + task show_rotate_results; + input integer j; + input [`XY_BITS:0] lx; + input [`XY_BITS:0] ly; + begin + rx = lx;// / 65535; + ry = ly;// / 65535; + rx = rx / 32768; //65535; + ry = ry / 32768; //65535; + if (lx > x[j]) ex = lx - x[j]; else ex = x[j] - lx; + if (ly > y[j]) ey = ly - y[j]; else ey = y[j] - ly; + if (ex > 10 || ey > 10) + $display("Angle: %d sin = %f cos = %f errors %d %d",j,ry,rx,ey,ex); + else + $display("Angle: %d sin = %f cos = %f",j,ry,rx); + end + endtask + + task show_results; + input integer j; + input [`XY_BITS:0] lx; + input [`XY_BITS:0] ly; + input [`THETA_BITS:0] ltheta; + begin +`ifdef VECTOR + show_vector_results(j,ltheta); +`endif +`ifdef ROTATE + show_rotate_results(j,lx,ly); +`endif + end + endtask + initial begin + $display("starting simulation"); +`ifdef PIPELINE + $display("PIPELINE configuration"); +`endif +`ifdef ITERATE + $display("ITERATE configuration"); +`endif +`ifdef COMBINATORIAL + $display("COMBINATORIAL configuration"); +`endif + + // The following table is computed for U(1,15) format numbers + // The angle data z[] is in radians + x[0] <= 17'd32768; y[0] <= 17'd0; z[0] <= 17'd0; + x[1] <= 17'd32763; y[1] <= 17'd571; z[1] <= 17'd571; + x[2] <= 17'd32748; y[2] <= 17'd1143; z[2] <= 17'd1143; + x[3] <= 17'd32723; y[3] <= 17'd1714; z[3] <= 17'd1715; + x[4] <= 17'd32688; y[4] <= 17'd2285; z[4] <= 17'd2287; + x[5] <= 17'd32643; y[5] <= 17'd2855; z[5] <= 17'd2859; + x[6] <= 17'd32588; y[6] <= 17'd3425; z[6] <= 17'd3431; + x[7] <= 17'd32523; y[7] <= 17'd3993; z[7] <= 17'd4003; + x[8] <= 17'd32449; y[8] <= 17'd4560; z[8] <= 17'd4575; + x[9] <= 17'd32364; y[9] <= 17'd5126; z[9] <= 17'd5147; + x[10] <= 17'd32270; y[10] <= 17'd5690; z[10] <= 17'd5719; + x[11] <= 17'd32165; y[11] <= 17'd6252; z[11] <= 17'd6291; + x[12] <= 17'd32051; y[12] <= 17'd6812; z[12] <= 17'd6862; + x[13] <= 17'd31928; y[13] <= 17'd7371; z[13] <= 17'd7434; + x[14] <= 17'd31794; y[14] <= 17'd7927; z[14] <= 17'd8006; + x[15] <= 17'd31651; y[15] <= 17'd8480; z[15] <= 17'd8578; + x[16] <= 17'd31498; y[16] <= 17'd9032; z[16] <= 17'd9150; + x[17] <= 17'd31336; y[17] <= 17'd9580; z[17] <= 17'd9722; + x[18] <= 17'd31164; y[18] <= 17'd10125; z[18] <= 17'd10294; + x[19] <= 17'd30982; y[19] <= 17'd10668; z[19] <= 17'd10866; + x[20] <= 17'd30791; y[20] <= 17'd11207; z[20] <= 17'd11438; + x[21] <= 17'd30591; y[21] <= 17'd11743; z[21] <= 17'd12010; + x[22] <= 17'd30381; y[22] <= 17'd12275; z[22] <= 17'd12582; + x[23] <= 17'd30163; y[23] <= 17'd12803; z[23] <= 17'd13153; + x[24] <= 17'd29935; y[24] <= 17'd13327; z[24] <= 17'd13725; + x[25] <= 17'd29697; y[25] <= 17'd13848; z[25] <= 17'd14297; + x[26] <= 17'd29451; y[26] <= 17'd14364; z[26] <= 17'd14869; + x[27] <= 17'd29196; y[27] <= 17'd14876; z[27] <= 17'd15441; + x[28] <= 17'd28932; y[28] <= 17'd15383; z[28] <= 17'd16013; + x[29] <= 17'd28659; y[29] <= 17'd15886; z[29] <= 17'd16585; + x[30] <= 17'd28377; y[30] <= 17'd16383; z[30] <= 17'd17157; + x[31] <= 17'd28087; y[31] <= 17'd16876; z[31] <= 17'd17729; + x[32] <= 17'd27788; y[32] <= 17'd17364; z[32] <= 17'd18301; + x[33] <= 17'd27481; y[33] <= 17'd17846; z[33] <= 17'd18873; + x[34] <= 17'd27165; y[34] <= 17'd18323; z[34] <= 17'd19444; + x[35] <= 17'd26841; y[35] <= 17'd18794; z[35] <= 17'd20016; + x[36] <= 17'd26509; y[36] <= 17'd19260; z[36] <= 17'd20588; + x[37] <= 17'd26169; y[37] <= 17'd19720; z[37] <= 17'd21160; + x[38] <= 17'd25821; y[38] <= 17'd20173; z[38] <= 17'd21732; + x[39] <= 17'd25465; y[39] <= 17'd20621; z[39] <= 17'd22304; + x[40] <= 17'd25101; y[40] <= 17'd21062; z[40] <= 17'd22876; + x[41] <= 17'd24730; y[41] <= 17'd21497; z[41] <= 17'd23448; + x[42] <= 17'd24351; y[42] <= 17'd21926; z[42] <= 17'd24020; + x[43] <= 17'd23964; y[43] <= 17'd22347; z[43] <= 17'd24592; + x[44] <= 17'd23571; y[44] <= 17'd22762; z[44] <= 17'd25164; + x[45] <= 17'd23170; y[45] <= 17'd23170; z[45] <= 17'd25735; + x[46] <= 17'd22762; y[46] <= 17'd23571; z[46] <= 17'd26307; + x[47] <= 17'd22347; y[47] <= 17'd23964; z[47] <= 17'd26879; + x[48] <= 17'd21926; y[48] <= 17'd24351; z[48] <= 17'd27451; + x[49] <= 17'd21497; y[49] <= 17'd24730; z[49] <= 17'd28023; + x[50] <= 17'd21062; y[50] <= 17'd25101; z[50] <= 17'd28595; + x[51] <= 17'd20621; y[51] <= 17'd25465; z[51] <= 17'd29167; + x[52] <= 17'd20173; y[52] <= 17'd25821; z[52] <= 17'd29739; + x[53] <= 17'd19720; y[53] <= 17'd26169; z[53] <= 17'd30311; + x[54] <= 17'd19260; y[54] <= 17'd26509; z[54] <= 17'd30883; + x[55] <= 17'd18794; y[55] <= 17'd26841; z[55] <= 17'd31455; + x[56] <= 17'd18323; y[56] <= 17'd27165; z[56] <= 17'd32026; + x[57] <= 17'd17846; y[57] <= 17'd27481; z[57] <= 17'd32598; + x[58] <= 17'd17364; y[58] <= 17'd27788; z[58] <= 17'd33170; + x[59] <= 17'd16876; y[59] <= 17'd28087; z[59] <= 17'd33742; + x[60] <= 17'd16384; y[60] <= 17'd28377; z[60] <= 17'd34314; + x[61] <= 17'd15886; y[61] <= 17'd28659; z[61] <= 17'd34886; + x[62] <= 17'd15383; y[62] <= 17'd28932; z[62] <= 17'd35458; + x[63] <= 17'd14876; y[63] <= 17'd29196; z[63] <= 17'd36030; + x[64] <= 17'd14364; y[64] <= 17'd29451; z[64] <= 17'd36602; + x[65] <= 17'd13848; y[65] <= 17'd29697; z[65] <= 17'd37174; + x[66] <= 17'd13327; y[66] <= 17'd29935; z[66] <= 17'd37746; + x[67] <= 17'd12803; y[67] <= 17'd30163; z[67] <= 17'd38317; + x[68] <= 17'd12275; y[68] <= 17'd30381; z[68] <= 17'd38889; + x[69] <= 17'd11743; y[69] <= 17'd30591; z[69] <= 17'd39461; + x[70] <= 17'd11207; y[70] <= 17'd30791; z[70] <= 17'd40033; + x[71] <= 17'd10668; y[71] <= 17'd30982; z[71] <= 17'd40605; + x[72] <= 17'd10125; y[72] <= 17'd31164; z[72] <= 17'd41177; + x[73] <= 17'd9580; y[73] <= 17'd31336; z[73] <= 17'd41749; + x[74] <= 17'd9032; y[74] <= 17'd31498; z[74] <= 17'd42321; + x[75] <= 17'd8480; y[75] <= 17'd31651; z[75] <= 17'd42893; + x[76] <= 17'd7927; y[76] <= 17'd31794; z[76] <= 17'd43465; + x[77] <= 17'd7371; y[77] <= 17'd31928; z[77] <= 17'd44037; + x[78] <= 17'd6812; y[78] <= 17'd32051; z[78] <= 17'd44608; + x[79] <= 17'd6252; y[79] <= 17'd32165; z[79] <= 17'd45180; + x[80] <= 17'd5690; y[80] <= 17'd32270; z[80] <= 17'd45752; + x[81] <= 17'd5126; y[81] <= 17'd32364; z[81] <= 17'd46324; + x[82] <= 17'd4560; y[82] <= 17'd32449; z[82] <= 17'd46896; + x[83] <= 17'd3993; y[83] <= 17'd32523; z[83] <= 17'd47468; + x[84] <= 17'd3425; y[84] <= 17'd32588; z[84] <= 17'd48040; + x[85] <= 17'd2855; y[85] <= 17'd32643; z[85] <= 17'd48612; + x[86] <= 17'd2285; y[86] <= 17'd32688; z[86] <= 17'd49184; + x[87] <= 17'd1714; y[87] <= 17'd32723; z[87] <= 17'd49756; + x[88] <= 17'd1143; y[88] <= 17'd32748; z[88] <= 17'd50328; + x[89] <= 17'd571; y[89] <= 17'd32763; z[89] <= 17'd50899; + x[90] <= 17'd0; y[90] <= 17'd32768; z[90] <= 17'd51471; + + clock <= 0; + init <= 0; + reset <= 1; + x_i <= 0; + y_i <= 0; + theta_i <= 0; + #1 clock <= 1; + #1 clock <= 0; + reset <= 0; + + for (j=0;j<=90;j = j+1) begin // test 91 different angles, 0 to 90 degrees +`ifdef ROTATE // compute sin and cos + x_i <= `CORDIC_1; + y_i <= 0; + theta_i <= z[j]; +`endif +`ifdef VECTOR // compute the arctan + x_i <= x[j]; + y_i <= y[j]; + theta_i <= 0; +`endif + + +`ifdef ITERATE + init <= 1; // load the value into the rotator + #1 clock <= 1; + #1 clock <= 0; + init <= 0; + for(i=0;i<`ITERATIONS;i = i+1) begin // iterate on the value + #1 clock <= 1; + #1 clock <= 0; + end + show_results(j,x_o,y_o,theta_o); +`endif + + +`ifdef COMBINATORIAL + #1; // give a little time to view the waveform... + show_results(j,x_o,y_o,theta_o); +`endif + +`ifdef PIPELINE + #1 clock <= 1; + #1 clock <= 0; + if (j >= (`ITERATIONS-2)) begin // wait until the results start popping out + show_results((j-(`ITERATIONS-2)),x_o,y_o,theta_o); + end + if (j == 90) // now flush the pipe + for(i=0;i<(`ITERATIONS-2);i = i+1) begin + #1 clock <= 1; + #1 clock <= 0; + show_results((90-`ITERATIONS+3+i),x_o,y_o,theta_o); + end +`endif + + + end + for(i=0;i<16;i=i+1) // dump a few extra clock just for grins + #1 clock <= ~clock; +end + +endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.