OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 25 to Rev 24
    Reverse comparison

Rev 25 → Rev 24

/vhdl_wb_tb/trunk/rtl/vhdl/packages/wishbone_pkg.vhd
113,29 → 113,29
subtype wishbone_master_in_array_t is wishbone_slave_out_array_t;
 
constant wb_master_out_idle_c : wishbone_master_out_t := (
clk => '0',
dat => wishbone_data_of_unused_address_c,
rst => '0',
tgd => (others=>'0'),
adr => wishbone_unused_address_c, -- do not use 'X','U','Z','H','L', since this will generate warnings in address decoders where to_integer() is used
cyc => '0',
lock => '0',
sel => (others=>'0'),
stb => '0',
tga => (others=>'0'),
tgc => (others=>'0'),
we => '0'
);
clk => '0',
dat => wishbone_data_of_unused_address_c,
rst => '0',
tgd => (others=>'0'),
adr => (others=>'U'),
cyc => '0',
lock => '0',
sel => (others=>'0'),
stb => '0',
tga => (others=>'0'),
tgc => (others=>'0'),
we => '0'
);
constant wb_slave_in_idle_c : wishbone_slave_in_t := wb_master_out_idle_c;
 
constant wb_master_in_idle_c : wishbone_master_in_t := (
dat => wishbone_data_of_unused_address_c,
tgd => (others=>'0'),
ack => '0',
err => '0',
rty => '0',
int => '0'
);
dat => wishbone_data_of_unused_address_c,
tgd => (others=>'0'),
ack => '0',
err => '0',
rty => '0',
int => '0'
);
constant wb_slave_out_idle_c : wishbone_slave_out_t := wb_master_in_idle_c;
 
-- constant cc_dummy_address : std_logic_vector(wishbone_address_width_c-1 downto 0) :=(others => 'X');

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.