OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /vhdl_wb_tb/trunk/rtl/vhdl/packages
    from Rev 26 to Rev 27
    Reverse comparison

Rev 26 → Rev 27

/convert_pkg.vhd
129,7 → 129,7
----------------------------------------------------------------------
FUNCTION to_string(int : integer;
base : integer range 1 to 16 := 16;
length : integer range 1 to 32 := 0
length : integer range 0 to 32 := 0
) RETURN string IS
 
VARIABLE temp : string(1 TO 1000);
/my_project_pkg.vhd
57,6 → 57,7
package my_project_pkg is
 
constant wishbone_address_width_c : integer := 32;
constant wishbone_unused_address_c : std_logic_vector(wishbone_address_width_c-1 DOWNTO 0) := X"DEADDEAD"; -- "X" might lead to less resources. Meaningful value might ease debugging
constant wishbone_data_width_c : integer := 32;
constant wishbone_data_of_unused_address_c : std_logic_vector(wishbone_data_width_c-1 DOWNTO 0) := X"DEADDEAD"; -- "X" might lead to less resources. Meaningful value might ease debugging

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.