OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /vhdl_wb_tb/trunk/rtl/vhdl/packages
    from Rev 5 to Rev 14
    Reverse comparison

Rev 5 → Rev 14

/convert_pkg.vhd
16,11 → 16,11
----------------------------------------------------------------------
---- SVN information
----
---- $URL: $
---- $Revision: $
---- $Date: $
---- $Author: $
---- $Id: $
---- $URL$
---- $Revision$
---- $Date$
---- $Author$
---- $Id$
----------------------------------------------------------------------
---- ----
---- Copyright (C) 2018 Authors and OPENCORES.ORG ----
convert_pkg.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Author Date Id Revision URL Header \ No newline at end of property Index: my_project_pkg.vhd =================================================================== --- my_project_pkg.vhd (revision 5) +++ my_project_pkg.vhd (revision 14) @@ -16,11 +16,11 @@ ---------------------------------------------------------------------- ---- SVN information ---- ----- $URL: $ ----- $Revision: $ ----- $Date: $ ----- $Author: $ ----- $Id: $ +---- $URL$ +---- $Revision$ +---- $Date$ +---- $Author$ +---- $Id$ ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2018 Authors and OPENCORES.ORG ----
my_project_pkg.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Author Date Id Revision URL Header \ No newline at end of property Index: wishbone_pkg.vhd =================================================================== --- wishbone_pkg.vhd (revision 5) +++ wishbone_pkg.vhd (revision 14) @@ -22,11 +22,11 @@ ---------------------------------------------------------------------- ---- SVN information ---- ----- $URL: $ ----- $Revision: $ ----- $Date: $ ----- $Author: $ ----- $Id: $ +---- $URL$ +---- $Revision$ +---- $Date$ +---- $Author$ +---- $Id$ ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2018 Authors and OPENCORES.ORG ----
wishbone_pkg.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Author Date Id Revision URL Header \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.