OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /vhdl_wb_tb/trunk
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/rtl/vhdl/packages/convert_pkg.vhd
58,8 → 58,8
FUNCTION to_std_logic_vector(input : integer; length : integer) RETURN std_logic_vector;
 
FUNCTION to_integer(input : std_logic_vector) RETURN integer;
FUNCTION to_string(int : integer; base : integer := 10; length : integer := 0) RETURN string;
FUNCTION to_string(slv : std_logic_vector; base : integer; length : integer) RETURN string;
FUNCTION to_string(int : integer; base : integer range 1 to 16 := 16; length : integer range 1 to 8:= 8) RETURN string;
FUNCTION to_string(slv : std_logic_vector; base : integer range 1 to 16 := 16; length : integer range 1 to 8 := 8) RETURN string;
END convert_pkg;
 
121,7 → 121,10
RETURN c;
END to_char;
----------------------------------------------------------------------
FUNCTION to_string(int : integer; base : integer := 10; length : integer := 0) RETURN string IS
FUNCTION to_string(int : integer;
base : integer range 1 to 16 := 16;
length : integer range 1 to 8 := 8
) RETURN string IS
 
VARIABLE temp : string(1 TO 1000);
VARIABLE num : integer;
252,7 → 255,10
RETURN hex(1 TO hexlen);
END to_string;
----------------------------------------------------------------------
FUNCTION to_string(slv : std_logic_vector; base : integer; length : integer) RETURN string IS
FUNCTION to_string( slv : std_logic_vector;
base : integer range 1 to 16 := 16;
length : integer range 1 to 8 := 8
) RETURN string IS
 
BEGIN
RETURN to_string(to_integer(slv), base, length);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.