URL
https://opencores.org/ocsvn/w11/w11/trunk
Subversion Repositories w11
Compare Revisions
- This comparison shows the changes necessary to convert path
/w11/trunk
- from Rev 17 to Rev 18
- ↔ Reverse comparison
Rev 17 → Rev 18
/tools/fx2/src/main.c
1,6 → 1,6
/* $Id: main.c 447 2011-12-31 19:41:32Z mueller $ */ |
/* $Id: main.c 472 2013-01-06 14:39:10Z mueller $ */ |
/* |
* Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
* Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
* Code was forked from ixo-jtag.svn.sourceforge.net on 2011-07-17 |
* |
* - original copyright and licence disclaimer -------------------------------- |
25,6 → 25,7
* Revision History: |
* |
* Date Rev Version Comment |
* 2013-01-05 472 1.1.1 BUGFIX: explicitly set FIFOPINPOLAR=0 |
* 2011-07-23 397 1.1 factor out usb_fifo_init() code |
* 2011-07-17 394 1.0 Initial version (from ixo-jtag/usb_jtag Rev 204) |
* |
349,6 → 350,12
void main(void) |
{ |
EA = 0; // disable all interrupts |
|
// Digilent nexys3 and atlys boards change FIFOPINPOLAR such that |
// EE and FF are active high. In nexys2 boards they are active low |
// All config regs should be set (even when power on defaults are |
// use, but this one especially.... |
FIFOPINPOLAR = 0; |
|
usb_jtag_init(); |
usb_fifo_init(); |
/tools/fx2/bin/nexys2_jtag.ihx
1,4 → 1,4
:06000000020DAC02006BD2 |
:06000000020DB102006BCD |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DB200020DBA75 |
:030DCD00020DB55F |
:050DB5001203F180FEB5 |
:030DB700020DBF6B |
:030DD200020DBA55 |
:050DBA001203F180FEB0 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C001120632D001D000D005D0F9 |
:0E02E600C000C001120637D001D000D005D0F4 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066F1201AAD005D00467 |
:0E031200C004C0051206741201AAD005D00462 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120606BE |
:0C03400030010F8A82C004C00512060BB9 |
:06034C00D005D004801072 |
:0E0352008A82C004C0051206241201AAD0053A |
:0E0352008A82C004C0051206291201AAD00535 |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312090FB2 |
:0603E600300203120914AD |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206BE1217 |
:0603FA000450120CDF129A |
:080400000879D2AF120788024F |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E188E0F58212040AD002903E |
:0D04EC00E188E02AFAF582C00212040A90AD |
:0B04F900E1A6E0F58212040AD0029098 |
:0A050400E1A6E02AF58212040A9035 |
:0A050E00E1BEE0F58212040A7A0053 |
:0E05180090E188E0FBC3EA64808BF063F08022 |
:0B05260095F05017EA2488F582E434B9 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1A6E0FBC3EA64808BF063F080D9 |
:0B05510095F05017EA24A6F582E43470 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1BEE0FBC3EA64808BF063F08096 |
:0B057C0095F05017EA24BEF582E4342D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206C312BA |
:0603FF000455120CE4128B |
:08040500087ED2AF12078D0240 |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E188E0F58212040FD0029034 |
:0D04F100E188E02AFAF582C00212040F90A3 |
:0B04FE00E1A6E0F58212040FD002908E |
:0A050900E1A6E02AF58212040F902B |
:0A051300E1BEE0F58212040F7A0049 |
:0E051D0090E188E0FBC3EA64808BF063F0801D |
:0B052B0095F05017EA2488F582E434B4 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1A6E0FBC3EA64808BF063F080D4 |
:0B05560095F05017EA24A6F582E4346B |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1BEE0FBC3EA64808BF063F08091 |
:0B05810095F05017EA24BEF582E43428 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
199,239 → 199,239
:0AE1B4005F006A007400610067005C |
:0EE1BE0012033000300030003000300030001E |
:04E1CC0030003000EF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0B05FB00D2B775B5809001F4020D9B93 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E6137402F00090E6147402F00090FD |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E619E4F00090E61A01 |
:0E074700E4F00090E61BE4F00090E60BE4F016 |
:0E075500000090E6917480F00090E6917480B0 |
:03076300F0002281 |
:0807660090E6A0E04401F0223E |
:0E076E00AA8274805AC423541FFB740F5A9041 |
:0C077C00E6834BF090E683E04420F0227E |
:0E07880090E680E0440AF09000FA120D9B907B |
:0E079600E65D74FFF090E65F74FFF05391EFA4 |
:0807A40090E680E054F7F0221A |
:060DC700E478FFF6D8FD00 |
:060DAC0075120075130032 |
:0A07AC0090E680E0FA30E7197514BA |
:0307B600007515B6 |
:0307B900E17516D1 |
:0307BC001275179C |
:0307BF00E17518C9 |
:0307C2001C75198A |
:0307C500E1751AC1 |
:0307C80058751B46 |
:0207CB00E12229 |
:0407CD0075143C75EE |
:0307D10015E175BA |
:0307D400164E7549 |
:0307D70017E175B2 |
:0307DA0018587537 |
:0307DD0019E175AA |
:0307E0001A1C756B |
:0307E3001BE122F5 |
:0907E6005391EF75A100D202321B |
:0C07EF00C021C0E0C0F0C082C083C00286 |
:0E07FB00C003C004C005C006C007C000C00196 |
:0E080900C0D075D0005391EF75A1001207AC5E |
:0E081700D0D0D001D000D007D006D005D0043C |
:0D082500D003D002D083D082D0F0D0E0D03C |
:02083200213271 |
:0C083400C021C0E0C0F0C082C083C00240 |
:0E084000C003C004C005C006C007C000C00150 |
:0E084E00C0D075D0005391EF75A1001207AC19 |
:0E085C00D0D0D001D000D007D006D005D004F7 |
:0D086A00D003D002D083D082D0F0D0E0D0F7 |
:0208770021322C |
:050879001207AC751C24 |
:03087E00E6751DFF |
:0808810007758200120C3875A6 |
:030889001CEF75EC |
:06088C001D077582101229 |
:040892000C38751C8D |
:0308960034751D99 |
:0C08990008758214120C3890E65C743173 |
:0208A500F0223F |
:0D08A700E582547FFA24F750047582002288 |
:0708B400BA01047582012264 |
:0808BB00530201E4BA0001043C |
:0308C300F5822299 |
:0E08C600AA82BA010C90E6A1E0FB7C008B82B6 |
:0308D4008C8322F0 |
:0E08D700BA810C90E6A2E0FB7C008B828C8341 |
:0108E50022F0 |
:0E08E60053027FEA700C90E6A0E0FB7C008BD2 |
:0408F400828C83224D |
:0E08F80090E6A3E0FB7C00EAC313FA7D00EA61 |
:090906002BFBED3C8B82F58322F2 |
:0C090F00C20290E6B8E0FA530260BA00A1 |
:03091B000280282F |
:05091E00BA2002800D6B |
:05092300BA4002800E45 |
:05092800BA600280032B |
:03092D00020BCDED |
:06093000120766020BCD68 |
:0A093600120372E5826003020BCD8C |
:06094000120766020BCD58 |
:0D09460090E6B8E0FA530280BA8002800308 |
:03095300020ACEC7 |
:0B09560090E6B9E0FABA0003020A477D |
:05096100BA060280351A |
:05096600BA0802800840 |
:05096B00BA0A0280172A |
:03097000020AC8B0 |
:0C09730090E740E512F090E68AE4F09076 |
:08097F00E68B7401F0020BCDC0 |
:0C09870090E740E513F090E68AE4F09061 |
:08099300E68B7401F0020BCDAC |
:0A099B0090E6BBE0FABA01028017F3 |
:0509A500BA02028038D7 |
:0509AA00BA03028059B0 |
:0509AF00BA0602801BE6 |
:0509B400BA0702803CBF |
:0309B900020A41EE |
:0A09BC00AA14AB1590E6B3EBF07B34 |
:0909C6000090E6B4EAF0020BCD4A |
:0A09CF00AA16AB1790E6B3EBF07B1D |
:0909D9000090E6B4EAF0020BCD37 |
:0A09E200AA18AB1990E6B3EBF07B06 |
:0909EC000090E6B4EAF0020BCD24 |
:0A09F500AA1AAB1B90E6B3EBF07BEF |
:0909FF000090E6B4EAF0020BCD11 |
:0E0A080090E6BAE0FA90E178E0FBC3EA9B408A |
:070A160006120766020BCD7A |
:0C0A1D0090E6BAE075F002A42479F5829E |
:0B0A290074E135F0F583E0FAA3E090E3 |
:0D0A3400E6B3F07B0090E6B4EAF0020BCDD3 |
:060A4100120766020BCD56 |
:0D0A470090E6B8E0FA53021FBA0002800AE0 |
:050A5400BA0102801B45 |
:050A5900BA0266802CCA |
:0E0A5E0090E740E4F090E741F090E68AF090D7 |
:080A6C00E68B7402F0020BCDD1 |
:0E0A740090E740E4F090E741F090E68AF090C1 |
:080A8200E68B7402F0020BCDBB |
:0E0A8A0090E6BCE0F5821208A7E58260259098 |
:0E0A9800E6BCE0F5821208C6E0FA53020190B7 |
:0E0AA600E740EAF090E741E4F090E68AF09035 |
:080AB400E68B7402F0020BCD89 |
:060ABC00120766020BCDDB |
:060AC200120766020BCDD5 |
:060AC800120766020BCDCF |
:0C0ACE0090E6B9E0FA24F45003020BCAD1 |
:070ADA00EA2A2A900AE173E9 |
:070AE100020BCA020B170211 |
:060AE8000B92020B7302E9 |
:060AEE000BCA020BCA0254 |
:060AF4000BCA020BCA024E |
:060AFA000BCA020B05020D |
:050B00000BCA020B0E00 |
:090B050090E6BAE0F512020BCDF6 |
:090B0E0090E6BAE0F513020BCDEC |
:0D0B170090E6B8E0FA53021FBA0002800514 |
:050B2400BA0247800A3F |
:0A0B290090E6BAE0120766020BCD59 |
:0D0B330090E6BAE0703090E6BCE0F582126A |
:0D0B400008A7E582602390E6BCE0F5821274 |
:0E0B4D0008C6AA82AB83E0FC5304FE8A828BAA |
:0E0B5B0083ECF090E6BCE0F58212076E806439 |
:050B6900120766805F29 |
:050B6E00120766805A29 |
:0E0B730090E6B8E0FA53021FBA004F90E6BABF |
:070B8100E0FABA0102800551 |
:050B8800BA02028040EA |
:050B8D00120766803B29 |
:0E0B920090E6BAE0FA702C90E6BCE0F5821214 |
:0D0BA00008A7E582601A90E6BCE0F582121D |
:0E0BAD0008C6AA82AB83E0FC4304018A828B57 |
:050BBB0083ECF0800D49 |
:050BC000120766800829 |
:050BC500120766800329 |
:030BCA00120766A9 |
:080BCD0090E6A0E04480F02254 |
:050BD500AA82BA030032 |
:070BDA004005EA249C5001D4 |
:010BE10022F1 |
:090BE200740F5AFBBB03028007EB |
:070BEB00740F5AFBBB0B4520 |
:0A0BF200A2AF9203C2AFEA2400F59F |
:0C0BFC0082E43400F5837402F07B007486 |
:0C0C0800012AFCE43BFDEC2400F582ED29 |
:0A0C14003400F583E51DFCF07402C6 |
:0C0C1E002AFAE43BFBEA2400F582EB34E8 |
:0A0C2A0000F583AA1C7B00EAF0A28B |
:030C34000392AF79 |
:010C3700229A |
:080C3800E582FA248350012239 |
:060C4000EA5403600122EA |
:0A0C4600A2AF9204C2AFEA2400F549 |
:0C0C500082E43401F5837402F07B007430 |
:0C0C5C00012AFCE43BFDEC2400F582EDD5 |
:0A0C68003401F583E51DF074022A43 |
:0B0C7200FAE43BFBEA2400F582EB34BF |
:080C7D0001F583AA1CEAF0A2B4 |
:040C85000492AF2204 |
:050C8900AA82BA800000 |
:070C8E004005EA244B500170 |
:010C9500223C |
:060C9600EA540360012294 |
:0A0C9C00A2AF9205C2AFEA2480F572 |
:0C0CA60082E43400F5837402F07B0074DB |
:0C0CB200012AFCE43BFDEC2480F582EDFF |
:0A0CBE003400F583E51DF074022AEE |
:0B0CC800FAE43BFBEA2480F582EB34E9 |
:080CD30000F583AA1CEAF0A25F |
:040CDB000592AF22AD |
:080CDF00C2E8C2EA751C0075B1 |
:060CE7001D01758243129D |
:040CED000BD5751C92 |
:030CF10080751DEE |
:0C0CF40000758253120BD50090E650E40E |
:0E0D0000F00090E652E4F00090E654E4F000BB |
:0E0D0E0090E656E4F00090E65174FFF000907D |
:0E0D1C00E65374FFF00090E65574FFF000906F |
:0E0D2A00E65774FFF00090E658E4F090E659AA |
:0E0D380074FFF090E65AE4F090E65B74FFF072 |
:0E0D460090E65CE4F090E65D74FFF090E65EEF |
:0E0D5400E4F090E65F74FFF00090E660E4F0DB |
:0D0D62000090E66174FFF090E662E4F0900E |
:0E0D6F00E66374FFF090E665E4F090E66874C9 |
:0A0D7D000BF05391AFD2E8D2EA2246 |
:010D87002249 |
:020D8800AA823D |
:060D8A00120D87DAFB22C6 |
:030D900090FB5085 |
:080D9300A3E582458370F922FB |
:040D9B00AA82AB83FA |
:080D9F00120D901ABAFF011BAE |
:050DA700EA4B70F4228C |
:030DBA007581211F |
:0A0DBD001205D5E5826003020DB5B2 |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:0B060000D2B775B5809001F4020DA088 |
:0E060B00AA82ABB574FE5BF5F0741C45F0F5E9 |
:0E061900B5EA1392B4EAA2E192B3EAA2E49227 |
:02062700B222FD |
:0E06290012060BA2B0E433FA74024AF58222E4 |
:0E063700E5821392B2D2B413C2B492B2D2B41E |
:0E06450013C2B492B2D2B413C2B492B2D2B401 |
:0E06530013C2B492B2D2B413C2B492B2D2B4F3 |
:0E06610013C2B492B2D2B413C2B492B2D2B4E5 |
:05066F0000C2B42222CC |
:0E067400E582A2B01392B2D2B4C2B4A2B01307 |
:0E06820092B2D2B4C2B4A2B01392B2D2B4C239 |
:0E069000B4A2B01392B2D2B4C2B4A2B013920C |
:0E069E00B2D2B4C2B4A2B01392B2D2B4C2B4FB |
:0E06AC00A2B01392B2D2B4C2B4A2B01392B2F2 |
:0906BA00D2B400C2B4F582222280 |
:0D06C30090E6007412F090E60174ABF09028 |
:0E06D000E6707480F00090E60274E0F0009096 |
:0E06DE00E60374F9F00090E6047480F00090DA |
:0D06EC00E60B7403F00090E610E4F00090BF |
:0D06F900E61174A0F00090E618E4F0009007 |
:0E070600E6047402F00090E61274A2F0009077 |
:0E071400E6137402F00090E6147402F00090F8 |
:0E072200E6157402F00090E6047404F00090F6 |
:0E073000E6047406F00090E6047408F00090F1 |
:0E073E00E604E4F00090E619E4F00090E61AFC |
:0E074C00E4F00090E61BE4F00090E60BE4F011 |
:0E075A00000090E6917480F00090E6917480AB |
:03076800F000227C |
:08076B0090E6A0E04401F02239 |
:0E077300AA8274805AC423541FFB740F5A903C |
:0C078100E6834BF090E683E04420F02279 |
:0E078D0090E680E0440AF09000FA120DA09071 |
:0E079B00E65D74FFF090E65F74FFF05391EF9F |
:0807A90090E680E054F7F02215 |
:060DCC00E478FFF6D8FDFB |
:060DB1007512007513002D |
:0A07B10090E680E0FA30E7197514B5 |
:0307BB00007515B1 |
:0307BE00E17516CC |
:0307C10012751797 |
:0307C400E17518C4 |
:0307C7001C751985 |
:0307CA00E1751ABC |
:0307CD0058751B41 |
:0207D000E12224 |
:0407D20075143C75E9 |
:0307D60015E175B5 |
:0307D900164E7544 |
:0307DC0017E175AD |
:0307DF0018587532 |
:0307E20019E175A5 |
:0307E5001A1C7566 |
:0307E8001BE122F0 |
:0907EB005391EF75A100D2023216 |
:0C07F400C021C0E0C0F0C082C083C00281 |
:0E080000C003C004C005C006C007C000C00190 |
:0E080E00C0D075D0005391EF75A1001207B154 |
:0E081C00D0D0D001D000D007D006D005D00437 |
:0D082A00D003D002D083D082D0F0D0E0D037 |
:0208370021326C |
:0C083900C021C0E0C0F0C082C083C0023B |
:0E084500C003C004C005C006C007C000C0014B |
:0E085300C0D075D0005391EF75A1001207B10F |
:0E086100D0D0D001D000D007D006D005D004F2 |
:0D086F00D003D002D083D082D0F0D0E0D0F2 |
:02087C00213227 |
:05087E001207B1751C1A |
:03088300EB751DF5 |
:0808860007758200120C3D759C |
:03088E001CF475E2 |
:060891001D077582101224 |
:040897000C3D751C83 |
:03089B0039751D8F |
:0C089E0008758214120C3D90E65C743169 |
:0208AA00F0223A |
:0D08AC00E582547FFA24F750047582002283 |
:0708B900BA0104758201225F |
:0808C000530201E4BA00010437 |
:0308C800F5822294 |
:0E08CB00AA82BA010C90E6A1E0FB7C008B82B1 |
:0308D9008C8322EB |
:0E08DC00BA810C90E6A2E0FB7C008B828C833C |
:0108EA0022EB |
:0E08EB0053027FEA700C90E6A0E0FB7C008BCD |
:0408F900828C832248 |
:0E08FD0090E6A3E0FB7C00EAC313FA7D00EA5C |
:09090B002BFBED3C8B82F58322ED |
:0C091400C20290E6B8E0FA530260BA009C |
:030920000280282A |
:05092300BA2002800D66 |
:05092800BA4002800E40 |
:05092D00BA6002800326 |
:03093200020BD2E3 |
:0609350012076B020BD259 |
:0A093B00120372E5826003020BD282 |
:0609450012076B020BD249 |
:0D094B0090E6B8E0FA530280BA8002800303 |
:03095800020AD3BD |
:0B095B0090E6B9E0FABA0003020A4C73 |
:05096600BA0602803515 |
:05096B00BA080280083B |
:05097000BA0A02801725 |
:03097500020ACDA6 |
:0C09780090E740E512F090E68AE4F09071 |
:08098400E68B7401F0020BD2B6 |
:0C098C0090E740E513F090E68AE4F0905C |
:08099800E68B7401F0020BD2A2 |
:0A09A00090E6BBE0FABA01028017EE |
:0509AA00BA02028038D2 |
:0509AF00BA03028059AB |
:0509B400BA0602801BE1 |
:0509B900BA0702803CBA |
:0309BE00020A46E4 |
:0A09C100AA14AB1590E6B3EBF07B2F |
:0909CB000090E6B4EAF0020BD240 |
:0A09D400AA16AB1790E6B3EBF07B18 |
:0909DE000090E6B4EAF0020BD22D |
:0A09E700AA18AB1990E6B3EBF07B01 |
:0909F1000090E6B4EAF0020BD21A |
:0A09FA00AA1AAB1B90E6B3EBF07BEA |
:090A04000090E6B4EAF0020BD206 |
:0E0A0D0090E6BAE0FA90E178E0FBC3EA9B4085 |
:070A1B000612076B020BD26B |
:0C0A220090E6BAE075F002A42479F58299 |
:0B0A2E0074E135F0F583E0FAA3E090DE |
:0D0A3900E6B3F07B0090E6B4EAF0020BD2C9 |
:060A460012076B020BD247 |
:0D0A4C0090E6B8E0FA53021FBA0002800ADB |
:050A5900BA0102801B40 |
:050A5E00BA0266802CC5 |
:0E0A630090E740E4F090E741F090E68AF090D2 |
:080A7100E68B7402F0020BD2C7 |
:0E0A790090E740E4F090E741F090E68AF090BC |
:080A8700E68B7402F0020BD2B1 |
:0E0A8F0090E6BCE0F5821208ACE5826025908E |
:0E0A9D00E6BCE0F5821208CBE0FA53020190AD |
:0E0AAB00E740EAF090E741E4F090E68AF09030 |
:080AB900E68B7402F0020BD27F |
:060AC10012076B020BD2CC |
:060AC70012076B020BD2C6 |
:060ACD0012076B020BD2C0 |
:0C0AD30090E6B9E0FA24F45003020BCFC7 |
:070ADF00EA2A2A900AE673DF |
:070AE600020BCF020B1C0202 |
:060AED000B97020B7802DA |
:060AF3000BCF020BCF0245 |
:060AF9000BCF020BCF023F |
:060AFF000BCF020B0A02FE |
:050B05000BCF020B13F1 |
:090B0A0090E6BAE0F512020BD2EC |
:090B130090E6BAE0F513020BD2E2 |
:0D0B1C0090E6B8E0FA53021FBA000280050F |
:050B2900BA0247800A3A |
:0A0B2E0090E6BAE012076B020BD24A |
:0D0B380090E6BAE0703090E6BCE0F5821265 |
:0D0B450008ACE582602390E6BCE0F582126A |
:0E0B520008CBAA82AB83E0FC5304FE8A828BA0 |
:0E0B600083ECF090E6BCE0F58212077380642F |
:050B6E0012076B805F1F |
:050B730012076B805A1F |
:0E0B780090E6B8E0FA53021FBA004F90E6BABA |
:070B8600E0FABA010280054C |
:050B8D00BA02028040E5 |
:050B920012076B803B1F |
:0E0B970090E6BAE0FA702C90E6BCE0F582120F |
:0D0BA50008ACE582601A90E6BCE0F5821213 |
:0E0BB20008CBAA82AB83E0FC4304018A828B4D |
:050BC00083ECF0800D44 |
:050BC50012076B80081F |
:050BCA0012076B80031F |
:030BCF0012076B9F |
:080BD20090E6A0E04480F0224F |
:050BDA00AA82BA03002D |
:070BDF004005EA249C5001CF |
:010BE60022EC |
:090BE700740F5AFBBB03028007E6 |
:070BF000740F5AFBBB0B451B |
:0A0BF700A2AF9203C2AFEA2400F59A |
:0C0C010082E43400F5837402F07B007480 |
:0C0C0D00012AFCE43BFDEC2400F582ED24 |
:0A0C19003400F583E51DFCF07402C1 |
:0C0C23002AFAE43BFBEA2400F582EB34E3 |
:0A0C2F0000F583AA1C7B00EAF0A286 |
:030C39000392AF74 |
:010C3C002295 |
:080C3D00E582FA248350012234 |
:060C4500EA5403600122E5 |
:0A0C4B00A2AF9204C2AFEA2400F544 |
:0C0C550082E43401F5837402F07B00742B |
:0C0C6100012AFCE43BFDEC2400F582EDD0 |
:0A0C6D003401F583E51DF074022A3E |
:0B0C7700FAE43BFBEA2400F582EB34BA |
:080C820001F583AA1CEAF0A2AF |
:040C8A000492AF22FF |
:050C8E00AA82BA8000FB |
:070C93004005EA244B50016B |
:010C9A002237 |
:060C9B00EA54036001228F |
:0A0CA100A2AF9205C2AFEA2480F56D |
:0C0CAB0082E43400F5837402F07B0074D6 |
:0C0CB700012AFCE43BFDEC2480F582EDFA |
:0A0CC3003400F583E51DF074022AE9 |
:0B0CCD00FAE43BFBEA2480F582EB34E4 |
:080CD80000F583AA1CEAF0A25A |
:040CE0000592AF22A8 |
:080CE400C2E8C2EA751C0075AC |
:060CEC001D017582431298 |
:040CF2000BDA751C88 |
:030CF60080751DE9 |
:0C0CF90000758253120BDA0090E650E404 |
:0E0D0500F00090E652E4F00090E654E4F000B6 |
:0E0D130090E656E4F00090E65174FFF0009078 |
:0E0D2100E65374FFF00090E65574FFF000906A |
:0E0D2F00E65774FFF00090E658E4F090E659A5 |
:0E0D3D0074FFF090E65AE4F090E65B74FFF06D |
:0E0D4B0090E65CE4F090E65D74FFF090E65EEA |
:0E0D5900E4F090E65F74FFF00090E660E4F0D6 |
:0D0D67000090E66174FFF090E662E4F09009 |
:0E0D7400E66374FFF090E665E4F090E66874C4 |
:0A0D82000BF05391AFD2E8D2EA2241 |
:010D8C002244 |
:020D8D00AA8238 |
:060D8F00120D8CDAFB22BC |
:030D950090FB5080 |
:080D9800A3E582458370F922F6 |
:040DA000AA82AB83F5 |
:080DA400120D951ABAFF011BA4 |
:050DAC00EA4B70F42287 |
:030DBF007581211A |
:0A0DC2001205DAE5826003020DBAA3 |
:00000001FF |
/tools/fx2/bin/nexys2_jtag_2fifo_ic.ihx
1,4 → 1,4
:06000000020DEB02006B93 |
:06000000020DF002006B8E |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DF100020DF9F7 |
:030E0C00020DF4E0 |
:050DF4001203F180FE76 |
:030DF600020DFEED |
:030E1100020DF9D6 |
:050DF9001203F180FE71 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C001120632D001D000D005D0F9 |
:0E02E600C000C001120637D001D000D005D0F4 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066F1201AAD005D00467 |
:0E031200C004C0051206741201AAD005D00462 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120606BE |
:0C03400030010F8A82C004C00512060BB9 |
:06034C00D005D004801072 |
:0E0352008A82C004C0051206241201AAD0053A |
:0E0352008A82C004C0051206291201AAD00535 |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312094E73 |
:0603E6003002031209536E |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206BE1217 |
:0603FA000450120D1E125A |
:0804000008B8D2AF1207C702D1 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E196E0F58212040AD0029030 |
:0D04EC00E196E02AFAF582C00212040A909F |
:0B04F900E1B4E0F58212040AD002908A |
:0A050400E1B4E02AF58212040A9027 |
:0A050E00E1DEE0F58212040A7A0033 |
:0E05180090E196E0FBC3EA64808BF063F08014 |
:0B05260095F05017EA2496F582E434AB |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1B4E0FBC3EA64808BF063F080CB |
:0B05510095F05017EA24B4F582E43462 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1DEE0FBC3EA64808BF063F08076 |
:0B057C0095F05017EA24DEF582E4340D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206C312BA |
:0603FF000455120D23124B |
:0804050008BDD2AF1207CC02C2 |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E196E0F58212040FD0029026 |
:0D04F100E196E02AFAF582C00212040F9095 |
:0B04FE00E1B4E0F58212040FD0029080 |
:0A050900E1B4E02AF58212040F901D |
:0A051300E1DEE0F58212040F7A0029 |
:0E051D0090E196E0FBC3EA64808BF063F0800F |
:0B052B0095F05017EA2496F582E434A6 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1B4E0FBC3EA64808BF063F080C6 |
:0B05560095F05017EA24B4F582E4345D |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1DEE0FBC3EA64808BF063F08071 |
:0B05810095F05017EA24DEF582E43408 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
201,243 → 201,243
:0EE1D0006600690066006F005F006900630072 |
:0EE1DE001203300030003000300030003000FE |
:04E1EC0030003000CF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0B05FB00D2B775B5809001F4020DDA54 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174A3F09035 |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E0F000907F |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347419F00090E63574FC3A |
:0E078D00F00090E61BE4F0000090E69174800E |
:0A079B00F00090E6917480F0002257 |
:0807A50090E6A0E04401F022FF |
:0E07AD00AA8274805AC423541FFB740F5A9002 |
:0C07BB00E6834BF090E683E04420F0223F |
:0E07C70090E680E0440AF09000FA120DDA90FD |
:0E07D500E65D74FFF090E65F74FFF05391EF65 |
:0807E30090E680E054F7F022DB |
:060E0600E478FFF6D8FDC0 |
:060DEB00751200751300F3 |
:0A07EB0090E680E0FA30E71975147B |
:0307F50000751577 |
:0307F800E1751692 |
:0307FB001275175D |
:0307FE00E175188A |
:030801001C75194A |
:03080400E1751A81 |
:0308070066751BF8 |
:02080A00E122E9 |
:04080C0075144A75A0 |
:0308100015E1757A |
:03081300165C75FB |
:0308160017E17572 |
:03081900186675E9 |
:03081C0019E1756A |
:03081F001A1C752B |
:030822001BE122B5 |
:090825005391EF75A100D20232DB |
:0C082E00C021C0E0C0F0C082C083C00246 |
:0E083A00C003C004C005C006C007C000C00156 |
:0E084800C0D075D0005391EF75A1001207EBE0 |
:0E085600D0D0D001D000D007D006D005D004FD |
:0D086400D003D002D083D082D0F0D0E0D0FD |
:02087100213232 |
:0C087300C021C0E0C0F0C082C083C00201 |
:0E087F00C003C004C005C006C007C000C00111 |
:0E088D00C0D075D0005391EF75A1001207EB9B |
:0E089B00D0D0D001D000D007D006D005D004B8 |
:0D08A900D003D002D083D082D0F0D0E0D0B8 |
:0208B6002132ED |
:0508B8001207EB751CA6 |
:0308BD0025751D81 |
:0808C00008758200120C777527 |
:0308C8001C2E756E |
:0608CB001D0875821012E9 |
:0408D1000C77751C0F |
:0308D50073751D1B |
:0C08D80008758214120C7790E65C7431F5 |
:0208E400F02200 |
:0D08E600E582547FFA24F750047582002249 |
:0708F300BA01047582012225 |
:0808FA00530201E4BA000104FD |
:03090200F5822259 |
:0E090500AA82BA010C90E6A1E0FB7C008B8276 |
:030913008C8322B0 |
:0E091600BA810C90E6A2E0FB7C008B828C8301 |
:0109240022B0 |
:0E09250053027FEA700C90E6A0E0FB7C008B92 |
:04093300828C83220D |
:0E09370090E6A3E0FB7C00EAC313FA7D00EA21 |
:090945002BFBED3C8B82F58322B3 |
:0C094E00C20290E6B8E0FA530260BA0062 |
:03095A00028028F0 |
:05095D00BA2002800D2C |
:05096200BA4002800E06 |
:05096700BA60028003EC |
:03096C00020C0C6E |
:06096F001207A5020C0CAA |
:0A097500120372E5826003020C0C0D |
:06097F001207A5020C0C9A |
:0D09850090E6B8E0FA530280BA80028003C9 |
:03099200020B0D48 |
:0B09950090E6B9E0FABA0003020A86FF |
:0509A000BA06028035DB |
:0509A500BA0802800801 |
:0509AA00BA0A028017EB |
:0309AF00020B0731 |
:0C09B20090E740E512F090E68AE4F09037 |
:0809BE00E68B7401F0020C0C41 |
:0C09C60090E740E513F090E68AE4F09022 |
:0809D200E68B7401F0020C0C2D |
:0A09DA0090E6BBE0FABA01028017B4 |
:0509E400BA0202803898 |
:0509E900BA0302805971 |
:0509EE00BA0602801BA7 |
:0509F300BA0702803C80 |
:0309F800020A8070 |
:0A09FB00AA14AB1590E6B3EBF07BF5 |
:090A05000090E6B4EAF0020C0CCA |
:0A0A0E00AA16AB1790E6B3EBF07BDD |
:090A18000090E6B4EAF0020C0CB7 |
:0A0A2100AA18AB1990E6B3EBF07BC6 |
:090A2B000090E6B4EAF0020C0CA4 |
:0A0A3400AA1AAB1B90E6B3EBF07BAF |
:090A3E000090E6B4EAF0020C0C91 |
:0E0A470090E6BAE0FA90E186E0FBC3EA9B403D |
:070A5500061207A5020C0CBC |
:0C0A5C0090E6BAE075F002A42487F58251 |
:0B0A680074E135F0F583E0FAA3E090A4 |
:0D0A7300E6B3F07B0090E6B4EAF0020C0C54 |
:060A80001207A5020C0C98 |
:0D0A860090E6B8E0FA53021FBA0002800AA1 |
:050A9300BA0102801B06 |
:050A9800BA0266802C8B |
:0E0A9D0090E740E4F090E741F090E68AF09098 |
:080AAB00E68B7402F0020C0C52 |
:0E0AB30090E740E4F090E741F090E68AF09082 |
:080AC100E68B7402F0020C0C3C |
:0E0AC90090E6BCE0F5821208E6E5826025901A |
:0E0AD700E6BCE0F582120905E0FA5302019038 |
:0E0AE500E740EAF090E741E4F090E68AF090F6 |
:080AF300E68B7402F0020C0C0A |
:060AFB001207A5020C0C1D |
:060B01001207A5020C0C16 |
:060B07001207A5020C0C10 |
:0C0B0D0090E6B9E0FA24F45003020C0951 |
:070B1900EA2A2A900B207369 |
:070B2000020C09020B560252 |
:060B27000BD1020BB2022B |
:060B2D000C09020C090294 |
:060B33000C09020C09028E |
:060B39000C09020B44024E |
:050B3F000C09020B4D42 |
:090B440090E6BAE0F512020C0C77 |
:090B4D0090E6BAE0F513020C0C6D |
:0D0B560090E6B8E0FA53021FBA00028005D5 |
:050B6300BA0247800A00 |
:0A0B680090E6BAE01207A5020C0C9B |
:0D0B720090E6BAE0703090E6BCE0F582122B |
:0D0B7F0008E6E582602390E6BCE0F58212F6 |
:0E0B8C000905AA82AB83E0FC5304FE8A828B2B |
:0E0B9A0083ECF090E6BCE0F5821207AD8064BB |
:050BA8001207A5805FAB |
:050BAD001207A5805AAB |
:0E0BB20090E6B8E0FA53021FBA004F90E6BA80 |
:070BC000E0FABA0102800512 |
:050BC700BA02028040AB |
:050BCC001207A5803BAB |
:0E0BD10090E6BAE0FA702C90E6BCE0F58212D5 |
:0D0BDF0008E6E582601A90E6BCE0F582129F |
:0E0BEC000905AA82AB83E0FC4304018A828BD8 |
:050BFA0083ECF0800D0A |
:050BFF001207A58008AB |
:050C04001207A58003AA |
:030C09001207A52A |
:080C0C0090E6A0E04480F02214 |
:050C1400AA82BA0300F2 |
:070C19004005EA249C500194 |
:010C200022B1 |
:090C2100740F5AFBBB03028007AB |
:070C2A00740F5AFBBB0B45E0 |
:0A0C3100A2AF9203C2AFEA2400F55F |
:0C0C3B0082E43400F5837402F07B007446 |
:0C0C4700012AFCE43BFDEC2400F582EDEA |
:0A0C53003400F583E51DFCF0740287 |
:0C0C5D002AFAE43BFBEA2400F582EB34A9 |
:0A0C690000F583AA1C7B00EAF0A24C |
:030C73000392AF3A |
:010C7600225B |
:080C7700E582FA2483500122FA |
:060C7F00EA5403600122AB |
:0A0C8500A2AF9204C2AFEA2400F50A |
:0C0C8F0082E43401F5837402F07B0074F1 |
:0C0C9B00012AFCE43BFDEC2400F582ED96 |
:0A0CA7003401F583E51DF074022A04 |
:0B0CB100FAE43BFBEA2400F582EB3480 |
:080CBC0001F583AA1CEAF0A275 |
:040CC4000492AF22C5 |
:050CC800AA82BA8000C1 |
:070CCD004005EA244B500131 |
:010CD40022FD |
:060CD500EA540360012255 |
:0A0CDB00A2AF9205C2AFEA2480F533 |
:0C0CE50082E43400F5837402F07B00749C |
:0C0CF100012AFCE43BFDEC2480F582EDC0 |
:0A0CFD003400F583E51DF074022AAF |
:0B0D0700FAE43BFBEA2480F582EB34A9 |
:080D120000F583AA1CEAF0A21F |
:040D1A000592AF226D |
:080D1E00C2E8C2EA751C007571 |
:060D26001D01758243125D |
:040D2C000C14751C12 |
:030D300080751DAE |
:0C0D330000758253120C140090E650E48E |
:0E0D3F00F00090E652E4F00090E654E4F0007C |
:0E0D4D0090E656E4F00090E65174FFF000903E |
:0E0D5B00E65374FFF00090E65574FFF0009030 |
:0E0D6900E65774FFF00090E658E4F090E6596B |
:0E0D770074FFF090E65AE4F090E65B74FFF033 |
:0E0D850090E65CE4F090E65D74FFF090E65EB0 |
:0E0D9300E4F090E65F74FFF00090E660E4F09C |
:0D0DA1000090E66174FFF090E662E4F090CF |
:0E0DAE00E66374FFF090E665E4F090E668748A |
:0A0DBC000BF05391AFD2E8D2EA2207 |
:010DC600220A |
:020DC700AA82FE |
:060DC900120DC6DAFB2248 |
:030DCF0090FB5046 |
:080DD200A3E582458370F922BC |
:040DDA00AA82AB83BB |
:080DDE00120DCF1ABAFF011B30 |
:050DE600EA4B70F4224D |
:030DF900758121E0 |
:0A0DFC001205D5E5826003020DF434 |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:0B060000D2B775B5809001F4020DDF49 |
:0E060B00AA82ABB574FE5BF5F0741C45F0F5E9 |
:0E061900B5EA1392B4EAA2E192B3EAA2E49227 |
:02062700B222FD |
:0E06290012060BA2B0E433FA74024AF58222E4 |
:0E063700E5821392B2D2B413C2B492B2D2B41E |
:0E06450013C2B492B2D2B413C2B492B2D2B401 |
:0E06530013C2B492B2D2B413C2B492B2D2B4F3 |
:0E06610013C2B492B2D2B413C2B492B2D2B4E5 |
:05066F0000C2B42222CC |
:0E067400E582A2B01392B2D2B4C2B4A2B01307 |
:0E06820092B2D2B4C2B4A2B01392B2D2B4C239 |
:0E069000B4A2B01392B2D2B4C2B4A2B013920C |
:0E069E00B2D2B4C2B4A2B01392B2D2B4C2B4FB |
:0E06AC00A2B01392B2D2B4C2B4A2B01392B2F2 |
:0906BA00D2B400C2B4F582222280 |
:0D06C30090E6007412F090E60174A3F09030 |
:0E06D000E6707480F00090E60274E0F0009096 |
:0E06DE00E60374F9F00090E6047480F00090DA |
:0D06EC00E60B7403F00090E610E4F00090BF |
:0D06F900E61174A0F00090E618E4F0009007 |
:0E070600E6047402F00090E61274A2F0009077 |
:0E071400E61374A2F00090E61474E0F000907A |
:0E072200E6157402F00090E6047404F00090F6 |
:0E073000E6047406F00090E6047408F00090F1 |
:0E073E00E604E4F00090E60BE4F00090E649DB |
:0E074C007484F00090E6497484F00090E61981 |
:0E075A00E4F00090E6197410F00090E632749E |
:0E07680080F00090E6337404F00090E61A74FE |
:0E0776000CF00090E6247402F00090E625E4FA |
:0E078400F00090E6347419F00090E63574FC35 |
:0E079200F00090E61BE4F0000090E691748009 |
:0A07A000F00090E6917480F0002252 |
:0807AA0090E6A0E04401F022FA |
:0E07B200AA8274805AC423541FFB740F5A90FD |
:0C07C000E6834BF090E683E04420F0223A |
:0E07CC0090E680E0440AF09000FA120DDF90F3 |
:0E07DA00E65D74FFF090E65F74FFF05391EF60 |
:0807E80090E680E054F7F022D6 |
:060E0B00E478FFF6D8FDBB |
:060DF000751200751300EE |
:0A07F00090E680E0FA30E719751476 |
:0307FA0000751572 |
:0307FD00E175168D |
:0308000012751757 |
:03080300E1751884 |
:030806001C751945 |
:03080900E1751A7C |
:03080C0066751BF3 |
:02080F00E122E4 |
:0408110075144A759B |
:0308150015E17575 |
:03081800165C75F6 |
:03081B0017E1756D |
:03081E00186675E4 |
:0308210019E17565 |
:030824001A1C7526 |
:030827001BE122B0 |
:09082A005391EF75A100D20232D6 |
:0C083300C021C0E0C0F0C082C083C00241 |
:0E083F00C003C004C005C006C007C000C00151 |
:0E084D00C0D075D0005391EF75A1001207F0D6 |
:0E085B00D0D0D001D000D007D006D005D004F8 |
:0D086900D003D002D083D082D0F0D0E0D0F8 |
:0208760021322D |
:0C087800C021C0E0C0F0C082C083C002FC |
:0E088400C003C004C005C006C007C000C0010C |
:0E089200C0D075D0005391EF75A1001207F091 |
:0E08A000D0D0D001D000D007D006D005D004B3 |
:0D08AE00D003D002D083D082D0F0D0E0D0B3 |
:0208BB002132E8 |
:0508BD001207F0751C9C |
:0308C2002A751D77 |
:0808C50008758200120C7C751D |
:0308CD001C337564 |
:0608D0001D0875821012E4 |
:0408D6000C7C751C05 |
:0308DA0078751D11 |
:0C08DD0008758214120C7C90E65C7431EB |
:0208E900F022FB |
:0D08EB00E582547FFA24F750047582002244 |
:0708F800BA01047582012220 |
:0808FF00530201E4BA000104F8 |
:03090700F5822254 |
:0E090A00AA82BA010C90E6A1E0FB7C008B8271 |
:030918008C8322AB |
:0E091B00BA810C90E6A2E0FB7C008B828C83FC |
:0109290022AB |
:0E092A0053027FEA700C90E6A0E0FB7C008B8D |
:04093800828C832208 |
:0E093C0090E6A3E0FB7C00EAC313FA7D00EA1C |
:09094A002BFBED3C8B82F58322AE |
:0C095300C20290E6B8E0FA530260BA005D |
:03095F00028028EB |
:05096200BA2002800D27 |
:05096700BA4002800E01 |
:05096C00BA60028003E7 |
:03097100020C1164 |
:060974001207AA020C119B |
:0A097A00120372E5826003020C1103 |
:060984001207AA020C118B |
:0D098A0090E6B8E0FA530280BA80028003C4 |
:03099700020B123E |
:0B099A0090E6B9E0FABA0003020A8BF5 |
:0509A500BA06028035D6 |
:0509AA00BA08028008FC |
:0509AF00BA0A028017E6 |
:0309B400020B0C27 |
:0C09B70090E740E512F090E68AE4F09032 |
:0809C300E68B7401F0020C1137 |
:0C09CB0090E740E513F090E68AE4F0901D |
:0809D700E68B7401F0020C1123 |
:0A09DF0090E6BBE0FABA01028017AF |
:0509E900BA0202803893 |
:0509EE00BA030280596C |
:0509F300BA0602801BA2 |
:0509F800BA0702803C7B |
:0309FD00020A8566 |
:0A0A0000AA14AB1590E6B3EBF07BEF |
:090A0A000090E6B4EAF0020C11C0 |
:0A0A1300AA16AB1790E6B3EBF07BD8 |
:090A1D000090E6B4EAF0020C11AD |
:0A0A2600AA18AB1990E6B3EBF07BC1 |
:090A30000090E6B4EAF0020C119A |
:0A0A3900AA1AAB1B90E6B3EBF07BAA |
:090A43000090E6B4EAF0020C1187 |
:0E0A4C0090E6BAE0FA90E186E0FBC3EA9B4038 |
:070A5A00061207AA020C11AD |
:0C0A610090E6BAE075F002A42487F5824C |
:0B0A6D0074E135F0F583E0FAA3E0909F |
:0D0A7800E6B3F07B0090E6B4EAF0020C114A |
:060A85001207AA020C1189 |
:0D0A8B0090E6B8E0FA53021FBA0002800A9C |
:050A9800BA0102801B01 |
:050A9D00BA0266802C86 |
:0E0AA20090E740E4F090E741F090E68AF09093 |
:080AB000E68B7402F0020C1148 |
:0E0AB80090E740E4F090E741F090E68AF0907D |
:080AC600E68B7402F0020C1132 |
:0E0ACE0090E6BCE0F5821208EBE58260259010 |
:0E0ADC00E6BCE0F58212090AE0FA530201902E |
:0E0AEA00E740EAF090E741E4F090E68AF090F1 |
:080AF800E68B7402F0020C1100 |
:060B00001207AA020C110D |
:060B06001207AA020C1107 |
:060B0C001207AA020C1101 |
:0C0B120090E6B9E0FA24F45003020C0E47 |
:070B1E00EA2A2A900B25735F |
:070B2500020C0E020B5B0243 |
:060B2C000BD6020BB7021C |
:060B32000C0E020C0E0285 |
:060B38000C0E020C0E027F |
:060B3E000C0E020B49023F |
:050B44000C0E020B5233 |
:090B490090E6BAE0F512020C116D |
:090B520090E6BAE0F513020C1163 |
:0D0B5B0090E6B8E0FA53021FBA00028005D0 |
:050B6800BA0247800AFB |
:0A0B6D0090E6BAE01207AA020C118C |
:0D0B770090E6BAE0703090E6BCE0F5821226 |
:0D0B840008EBE582602390E6BCE0F58212EC |
:0E0B9100090AAA82AB83E0FC5304FE8A828B21 |
:0E0B9F0083ECF090E6BCE0F5821207B28064B1 |
:050BAD001207AA805FA1 |
:050BB2001207AA805AA1 |
:0E0BB70090E6B8E0FA53021FBA004F90E6BA7B |
:070BC500E0FABA010280050D |
:050BCC00BA02028040A6 |
:050BD1001207AA803BA1 |
:0E0BD60090E6BAE0FA702C90E6BCE0F58212D0 |
:0D0BE40008EBE582601A90E6BCE0F5821295 |
:0E0BF100090AAA82AB83E0FC4304018A828BCE |
:050BFF0083ECF0800D05 |
:050C04001207AA8008A0 |
:050C09001207AA8003A0 |
:030C0E001207AA20 |
:080C110090E6A0E04480F0220F |
:050C1900AA82BA0300ED |
:070C1E004005EA249C50018F |
:010C250022AC |
:090C2600740F5AFBBB03028007A6 |
:070C2F00740F5AFBBB0B45DB |
:0A0C3600A2AF9203C2AFEA2400F55A |
:0C0C400082E43400F5837402F07B007441 |
:0C0C4C00012AFCE43BFDEC2400F582EDE5 |
:0A0C58003400F583E51DFCF0740282 |
:0C0C62002AFAE43BFBEA2400F582EB34A4 |
:0A0C6E0000F583AA1C7B00EAF0A247 |
:030C78000392AF35 |
:010C7B002256 |
:080C7C00E582FA2483500122F5 |
:060C8400EA5403600122A6 |
:0A0C8A00A2AF9204C2AFEA2400F505 |
:0C0C940082E43401F5837402F07B0074EC |
:0C0CA000012AFCE43BFDEC2400F582ED91 |
:0A0CAC003401F583E51DF074022AFF |
:0B0CB600FAE43BFBEA2400F582EB347B |
:080CC10001F583AA1CEAF0A270 |
:040CC9000492AF22C0 |
:050CCD00AA82BA8000BC |
:070CD2004005EA244B50012C |
:010CD90022F8 |
:060CDA00EA540360012250 |
:0A0CE000A2AF9205C2AFEA2480F52E |
:0C0CEA0082E43400F5837402F07B007497 |
:0C0CF600012AFCE43BFDEC2480F582EDBB |
:0A0D02003400F583E51DF074022AA9 |
:0B0D0C00FAE43BFBEA2480F582EB34A4 |
:080D170000F583AA1CEAF0A21A |
:040D1F000592AF2268 |
:080D2300C2E8C2EA751C00756C |
:060D2B001D017582431258 |
:040D31000C19751C08 |
:030D350080751DA9 |
:0C0D380000758253120C190090E650E484 |
:0E0D4400F00090E652E4F00090E654E4F00077 |
:0E0D520090E656E4F00090E65174FFF0009039 |
:0E0D6000E65374FFF00090E65574FFF000902B |
:0E0D6E00E65774FFF00090E658E4F090E65966 |
:0E0D7C0074FFF090E65AE4F090E65B74FFF02E |
:0E0D8A0090E65CE4F090E65D74FFF090E65EAB |
:0E0D9800E4F090E65F74FFF00090E660E4F097 |
:0D0DA6000090E66174FFF090E662E4F090CA |
:0E0DB300E66374FFF090E665E4F090E6687485 |
:0A0DC1000BF05391AFD2E8D2EA2202 |
:010DCB002205 |
:020DCC00AA82F9 |
:060DCE00120DCBDAFB223E |
:030DD40090FB5041 |
:080DD700A3E582458370F922B7 |
:040DDF00AA82AB83B6 |
:080DE300120DD41ABAFF011B26 |
:050DEB00EA4B70F42248 |
:030DFE00758121DB |
:0A0E01001205DAE5826003020DF924 |
:00000001FF |
/tools/fx2/bin/nexys2_jtag_3fifo_ic.ihx
1,4 → 1,4
:06000000020E0702006B76 |
:06000000020E0C02006B71 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030E0D00020E15BD |
:030E2800020E10A7 |
:050E10001203F180FE59 |
:030E1200020E1AB3 |
:030E2D00020E159D |
:050E15001203F180FE54 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C001120632D001D000D005D0F9 |
:0E02E600C000C001120637D001D000D005D0F4 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066F1201AAD005D00467 |
:0E031200C004C0051206741201AAD005D00462 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120606BE |
:0C03400030010F8A82C004C00512060BB9 |
:06034C00D005D004801072 |
:0E0352008A82C004C0051206241201AAD0053A |
:0E0352008A82C004C0051206291201AAD00535 |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312096A57 |
:0603E60030020312096F52 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206BE1217 |
:0603FA000450120D3A123E |
:0804000008D4D2AF1207E30299 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E19EE0F58212040AD0029028 |
:0D04EC00E19EE02AFAF582C00212040A9097 |
:0B04F900E1BCE0F58212040AD0029082 |
:0A050400E1BCE02AF58212040A901F |
:0A050E00E1E6E0F58212040A7A002B |
:0E05180090E19EE0FBC3EA64808BF063F0800C |
:0B05260095F05017EA249EF582E434A3 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1BCE0FBC3EA64808BF063F080C3 |
:0B05510095F05017EA24BCF582E4345A |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1E6E0FBC3EA64808BF063F0806E |
:0B057C0095F05017EA24E6F582E43405 |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206C312BA |
:0603FF000455120D3F122F |
:0804050008D9D2AF1207E8028A |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E19EE0F58212040FD002901E |
:0D04F100E19EE02AFAF582C00212040F908D |
:0B04FE00E1BCE0F58212040FD0029078 |
:0A050900E1BCE02AF58212040F9015 |
:0A051300E1E6E0F58212040F7A0021 |
:0E051D0090E19EE0FBC3EA64808BF063F08007 |
:0B052B0095F05017EA249EF582E4349E |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1BCE0FBC3EA64808BF063F080BE |
:0B05560095F05017EA24BCF582E43455 |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1E6E0FBC3EA64808BF063F08069 |
:0B05810095F05017EA24E6F582E43400 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
202,245 → 202,245
:0EE1D8006600690066006F005F00690063006A |
:0EE1E6001203300030003000300030003000F6 |
:04E1F40030003000C7 |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0B05FB00D2B775B5809001F4020DF638 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174A3F09035 |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E2F000907D |
:0E071D00E61574E2F00090E6047404F000901B |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347409F00090E63574FC4A |
:0E078D00F00090E61B740CF00090E62674025B |
:0E079B00F00090E627E4F00090E6367441F09E |
:0E07A9000090E63774FCF0000090E69174803A |
:0A07B700F00090E6917480F000223B |
:0807C10090E6A0E04401F022E3 |
:0E07C900AA8274805AC423541FFB740F5A90E6 |
:0C07D700E6834BF090E683E04420F02223 |
:0E07E30090E680E0440AF09000FA120DF690C5 |
:0E07F100E65D74FFF090E65F74FFF05391EF49 |
:0807FF0090E680E054F7F022BF |
:060E2200E478FFF6D8FDA4 |
:060E0700751200751300D6 |
:0A08070090E680E0FA30E71975145E |
:030811000075155A |
:03081400E1751675 |
:0308170012751740 |
:03081A00E175186D |
:03081D001C75192E |
:03082000E1751A65 |
:030823006E751BD4 |
:02082600E122CD |
:04082800751452757C |
:03082C0015E1755E |
:03082F00166475D7 |
:0308320017E17556 |
:03083500186E75C5 |
:0308380019E1754E |
:03083B001A1C750F |
:03083E001BE12299 |
:090841005391EF75A100D20232BF |
:0C084A00C021C0E0C0F0C082C083C0022A |
:0E085600C003C004C005C006C007C000C0013A |
:0E086400C0D075D0005391EF75A100120807A7 |
:0E087200D0D0D001D000D007D006D005D004E1 |
:0D088000D003D002D083D082D0F0D0E0D0E1 |
:02088D00213216 |
:0C088F00C021C0E0C0F0C082C083C002E5 |
:0E089B00C003C004C005C006C007C000C001F5 |
:0E08A900C0D075D0005391EF75A10012080762 |
:0E08B700D0D0D001D000D007D006D005D0049C |
:0D08C500D003D002D083D082D0F0D0E0D09C |
:0208D2002132D1 |
:0508D400120807751C6D |
:0308D90041751D49 |
:0808DC0008758200120C9375EF |
:0308E4001C4A7536 |
:0608E7001D0875821012CD |
:0408ED000C93751CD7 |
:0308F1008F751DE3 |
:0C08F40008758214120C9390E65C7431BD |
:02090000F022E3 |
:0D090200E582547FFA24F75004758200222C |
:07090F00BA01047582012208 |
:08091600530201E4BA000104E0 |
:03091E00F582223D |
:0E092100AA82BA010C90E6A1E0FB7C008B825A |
:03092F008C832294 |
:0E093200BA810C90E6A2E0FB7C008B828C83E5 |
:010940002294 |
:0E09410053027FEA700C90E6A0E0FB7C008B76 |
:04094F00828C8322F1 |
:0E09530090E6A3E0FB7C00EAC313FA7D00EA05 |
:090961002BFBED3C8B82F5832297 |
:0C096A00C20290E6B8E0FA530260BA0046 |
:03097600028028D4 |
:05097900BA2002800D10 |
:05097E00BA4002800EEA |
:05098300BA60028003D0 |
:03098800020C2836 |
:06098B001207C1020C2856 |
:0A099100120372E5826003020C28D5 |
:06099B001207C1020C2846 |
:0D09A10090E6B8E0FA530280BA80028003AD |
:0309AE00020B2910 |
:0B09B10090E6B9E0FABA0003020AA2C7 |
:0509BC00BA06028035BF |
:0509C100BA08028008E5 |
:0509C600BA0A028017CF |
:0309CB00020B23F9 |
:0C09CE0090E740E512F090E68AE4F0901B |
:0809DA00E68B7401F0020C2809 |
:0C09E20090E740E513F090E68AE4F09006 |
:0809EE00E68B7401F0020C28F5 |
:0A09F60090E6BBE0FABA0102801798 |
:050A0000BA020280387B |
:050A0500BA0302805954 |
:050A0A00BA0602801B8A |
:050A0F00BA0702803C63 |
:030A1400020A9C37 |
:0A0A1700AA14AB1590E6B3EBF07BD8 |
:090A21000090E6B4EAF0020C2892 |
:0A0A2A00AA16AB1790E6B3EBF07BC1 |
:090A34000090E6B4EAF0020C287F |
:0A0A3D00AA18AB1990E6B3EBF07BAA |
:090A47000090E6B4EAF0020C286C |
:0A0A5000AA1AAB1B90E6B3EBF07B93 |
:090A5A000090E6B4EAF0020C2859 |
:0E0A630090E6BAE0FA90E18EE0FBC3EA9B4019 |
:070A7100061207C1020C2868 |
:0C0A780090E6BAE075F002A4248FF5822D |
:0B0A840074E135F0F583E0FAA3E09088 |
:0D0A8F00E6B3F07B0090E6B4EAF0020C281C |
:060A9C001207C1020C2844 |
:0D0AA20090E6B8E0FA53021FBA0002800A85 |
:050AAF00BA0102801BEA |
:050AB400BA0266802C6F |
:0E0AB90090E740E4F090E741F090E68AF0907C |
:080AC700E68B7402F0020C281A |
:0E0ACF0090E740E4F090E741F090E68AF09066 |
:080ADD00E68B7402F0020C2804 |
:0E0AE50090E6BCE0F582120902E582602590E1 |
:0E0AF300E6BCE0F582120921E0FA5302019000 |
:0E0B0100E740EAF090E741E4F090E68AF090D9 |
:080B0F00E68B7402F0020C28D1 |
:060B17001207C1020C28C8 |
:060B1D001207C1020C28C2 |
:060B23001207C1020C28BC |
:0C0B290090E6B9E0FA24F45003020C2519 |
:070B3500EA2A2A900B3C7331 |
:070B3C00020C25020B7202FE |
:060B43000BED020BCE02D7 |
:060B49000C25020C250240 |
:060B4F000C25020C25023A |
:060B55000C25020B6002FA |
:050B5B000C25020B69EE |
:090B600090E6BAE0F512020C283F |
:090B690090E6BAE0F513020C2835 |
:0D0B720090E6B8E0FA53021FBA00028005B9 |
:050B7F00BA0247800AE4 |
:0A0B840090E6BAE01207C1020C2847 |
:0D0B8E0090E6BAE0703090E6BCE0F582120F |
:0D0B9B000902E582602390E6BCE0F58212BD |
:0E0BA8000921AA82AB83E0FC5304FE8A828BF3 |
:0E0BB60083ECF090E6BCE0F5821207C9806483 |
:050BC4001207C1805F73 |
:050BC9001207C1805A73 |
:0E0BCE0090E6B8E0FA53021FBA004F90E6BA64 |
:070BDC00E0FABA01028005F6 |
:050BE300BA020280408F |
:050BE8001207C1803B73 |
:0E0BED0090E6BAE0FA702C90E6BCE0F58212B9 |
:0D0BFB000902E582601A90E6BCE0F5821266 |
:0E0C08000921AA82AB83E0FC4304018A828B9F |
:050C160083ECF0800DED |
:050C1B001207C1800872 |
:050C20001207C1800372 |
:030C25001207C1F2 |
:080C280090E6A0E04480F022F8 |
:050C3000AA82BA0300D6 |
:070C35004005EA249C500178 |
:010C3C002295 |
:090C3D00740F5AFBBB030280078F |
:070C4600740F5AFBBB0B45C4 |
:0A0C4D00A2AF9203C2AFEA2400F543 |
:0C0C570082E43400F5837402F07B00742A |
:0C0C6300012AFCE43BFDEC2400F582EDCE |
:0A0C6F003400F583E51DFCF074026B |
:0C0C79002AFAE43BFBEA2400F582EB348D |
:0A0C850000F583AA1C7B00EAF0A230 |
:030C8F000392AF1E |
:010C9200223F |
:080C9300E582FA2483500122DE |
:060C9B00EA54036001228F |
:0A0CA100A2AF9204C2AFEA2400F5EE |
:0C0CAB0082E43401F5837402F07B0074D5 |
:0C0CB700012AFCE43BFDEC2400F582ED7A |
:0A0CC3003401F583E51DF074022AE8 |
:0B0CCD00FAE43BFBEA2400F582EB3464 |
:080CD80001F583AA1CEAF0A259 |
:040CE0000492AF22A9 |
:050CE400AA82BA8000A5 |
:070CE9004005EA244B500115 |
:010CF00022E1 |
:060CF100EA540360012239 |
:0A0CF700A2AF9205C2AFEA2480F517 |
:0C0D010082E43400F5837402F07B00747F |
:0C0D0D00012AFCE43BFDEC2480F582EDA3 |
:0A0D19003400F583E51DF074022A92 |
:0B0D2300FAE43BFBEA2480F582EB348D |
:080D2E0000F583AA1CEAF0A203 |
:040D36000592AF2251 |
:080D3A00C2E8C2EA751C007555 |
:060D42001D017582431241 |
:040D48000C30751CDA |
:030D4C0080751D92 |
:0C0D4F0000758253120C300090E650E456 |
:0E0D5B00F00090E652E4F00090E654E4F00060 |
:0E0D690090E656E4F00090E65174FFF0009022 |
:0E0D7700E65374FFF00090E65574FFF0009014 |
:0E0D8500E65774FFF00090E658E4F090E6594F |
:0E0D930074FFF090E65AE4F090E65B74FFF017 |
:0E0DA10090E65CE4F090E65D74FFF090E65E94 |
:0E0DAF00E4F090E65F74FFF00090E660E4F080 |
:0D0DBD000090E66174FFF090E662E4F090B3 |
:0E0DCA00E66374FFF090E665E4F090E668746E |
:0A0DD8000BF05391AFD2E8D2EA22EB |
:010DE20022EE |
:020DE300AA82E2 |
:060DE500120DE2DAFB2210 |
:030DEB0090FB502A |
:080DEE00A3E582458370F922A0 |
:040DF600AA82AB839F |
:080DFA00120DEB1ABAFF011BF8 |
:050E0200EA4B70F42230 |
:030E1500758121C3 |
:0A0E18001205D5E5826003020E10FA |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:0B060000D2B775B5809001F4020DFB2D |
:0E060B00AA82ABB574FE5BF5F0741C45F0F5E9 |
:0E061900B5EA1392B4EAA2E192B3EAA2E49227 |
:02062700B222FD |
:0E06290012060BA2B0E433FA74024AF58222E4 |
:0E063700E5821392B2D2B413C2B492B2D2B41E |
:0E06450013C2B492B2D2B413C2B492B2D2B401 |
:0E06530013C2B492B2D2B413C2B492B2D2B4F3 |
:0E06610013C2B492B2D2B413C2B492B2D2B4E5 |
:05066F0000C2B42222CC |
:0E067400E582A2B01392B2D2B4C2B4A2B01307 |
:0E06820092B2D2B4C2B4A2B01392B2D2B4C239 |
:0E069000B4A2B01392B2D2B4C2B4A2B013920C |
:0E069E00B2D2B4C2B4A2B01392B2D2B4C2B4FB |
:0E06AC00A2B01392B2D2B4C2B4A2B01392B2F2 |
:0906BA00D2B400C2B4F582222280 |
:0D06C30090E6007412F090E60174A3F09030 |
:0E06D000E6707480F00090E60274E0F0009096 |
:0E06DE00E60374F9F00090E6047480F00090DA |
:0D06EC00E60B7403F00090E610E4F00090BF |
:0D06F900E61174A0F00090E618E4F0009007 |
:0E070600E6047402F00090E61274A2F0009077 |
:0E071400E61374A2F00090E61474E2F0009078 |
:0E072200E61574E2F00090E6047404F0009016 |
:0E073000E6047406F00090E6047408F00090F1 |
:0E073E00E604E4F00090E60BE4F00090E649DB |
:0E074C007484F00090E6497484F00090E61981 |
:0E075A00E4F00090E6197410F00090E632749E |
:0E07680080F00090E6337404F00090E61A74FE |
:0E0776000CF00090E6247402F00090E625E4FA |
:0E078400F00090E6347409F00090E63574FC45 |
:0E079200F00090E61B740CF00090E626740256 |
:0E07A000F00090E627E4F00090E6367441F099 |
:0E07AE000090E63774FCF0000090E691748035 |
:0A07BC00F00090E6917480F0002236 |
:0807C60090E6A0E04401F022DE |
:0E07CE00AA8274805AC423541FFB740F5A90E1 |
:0C07DC00E6834BF090E683E04420F0221E |
:0E07E80090E680E0440AF09000FA120DFB90BB |
:0E07F600E65D74FFF090E65F74FFF05391EF44 |
:0808040090E680E054F7F022B9 |
:060E2700E478FFF6D8FD9F |
:060E0C00751200751300D1 |
:0A080C0090E680E0FA30E719751459 |
:0308160000751555 |
:03081900E1751670 |
:03081C001275173B |
:03081F00E1751868 |
:030822001C751929 |
:03082500E1751A60 |
:030828006E751BCF |
:02082B00E122C8 |
:04082D007514527577 |
:0308310015E17559 |
:03083400166475D2 |
:0308370017E17551 |
:03083A00186E75C0 |
:03083D0019E17549 |
:030840001A1C750A |
:030843001BE12294 |
:090846005391EF75A100D20232BA |
:0C084F00C021C0E0C0F0C082C083C00225 |
:0E085B00C003C004C005C006C007C000C00135 |
:0E086900C0D075D0005391EF75A10012080C9D |
:0E087700D0D0D001D000D007D006D005D004DC |
:0D088500D003D002D083D082D0F0D0E0D0DC |
:02089200213211 |
:0C089400C021C0E0C0F0C082C083C002E0 |
:0E08A000C003C004C005C006C007C000C001F0 |
:0E08AE00C0D075D0005391EF75A10012080C58 |
:0E08BC00D0D0D001D000D007D006D005D00497 |
:0D08CA00D003D002D083D082D0F0D0E0D097 |
:0208D7002132CC |
:0508D90012080C751C63 |
:0308DE0046751D3F |
:0808E10008758200120C9875E5 |
:0308E9001C4F752C |
:0608EC001D0875821012C8 |
:0408F2000C98751CCD |
:0308F60094751DD9 |
:0C08F90008758214120C9890E65C7431B3 |
:02090500F022DE |
:0D090700E582547FFA24F750047582002227 |
:07091400BA01047582012203 |
:08091B00530201E4BA000104DB |
:03092300F5822238 |
:0E092600AA82BA010C90E6A1E0FB7C008B8255 |
:030934008C83228F |
:0E093700BA810C90E6A2E0FB7C008B828C83E0 |
:01094500228F |
:0E09460053027FEA700C90E6A0E0FB7C008B71 |
:04095400828C8322EC |
:0E09580090E6A3E0FB7C00EAC313FA7D00EA00 |
:090966002BFBED3C8B82F5832292 |
:0C096F00C20290E6B8E0FA530260BA0041 |
:03097B00028028CF |
:05097E00BA2002800D0B |
:05098300BA4002800EE5 |
:05098800BA60028003CB |
:03098D00020C2D2C |
:060990001207C6020C2D47 |
:0A099600120372E5826003020C2DCB |
:0609A0001207C6020C2D37 |
:0D09A60090E6B8E0FA530280BA80028003A8 |
:0309B300020B2E06 |
:0B09B60090E6B9E0FABA0003020AA7BD |
:0509C100BA06028035BA |
:0509C600BA08028008E0 |
:0509CB00BA0A028017CA |
:0309D000020B28EF |
:0C09D30090E740E512F090E68AE4F09016 |
:0809DF00E68B7401F0020C2DFF |
:0C09E70090E740E513F090E68AE4F09001 |
:0809F300E68B7401F0020C2DEB |
:0A09FB0090E6BBE0FABA0102801793 |
:050A0500BA0202803876 |
:050A0A00BA030280594F |
:050A0F00BA0602801B85 |
:050A1400BA0702803C5E |
:030A1900020AA12D |
:0A0A1C00AA14AB1590E6B3EBF07BD3 |
:090A26000090E6B4EAF0020C2D88 |
:0A0A2F00AA16AB1790E6B3EBF07BBC |
:090A39000090E6B4EAF0020C2D75 |
:0A0A4200AA18AB1990E6B3EBF07BA5 |
:090A4C000090E6B4EAF0020C2D62 |
:0A0A5500AA1AAB1B90E6B3EBF07B8E |
:090A5F000090E6B4EAF0020C2D4F |
:0E0A680090E6BAE0FA90E18EE0FBC3EA9B4014 |
:070A7600061207C6020C2D59 |
:0C0A7D0090E6BAE075F002A4248FF58228 |
:0B0A890074E135F0F583E0FAA3E09083 |
:0D0A9400E6B3F07B0090E6B4EAF0020C2D12 |
:060AA1001207C6020C2D35 |
:0D0AA70090E6B8E0FA53021FBA0002800A80 |
:050AB400BA0102801BE5 |
:050AB900BA0266802C6A |
:0E0ABE0090E740E4F090E741F090E68AF09077 |
:080ACC00E68B7402F0020C2D10 |
:0E0AD40090E740E4F090E741F090E68AF09061 |
:080AE200E68B7402F0020C2DFA |
:0E0AEA0090E6BCE0F582120907E582602590D7 |
:0E0AF800E6BCE0F582120926E0FA53020190F6 |
:0E0B0600E740EAF090E741E4F090E68AF090D4 |
:080B1400E68B7402F0020C2DC7 |
:060B1C001207C6020C2DB9 |
:060B22001207C6020C2DB3 |
:060B28001207C6020C2DAD |
:0C0B2E0090E6B9E0FA24F45003020C2A0F |
:070B3A00EA2A2A900B417327 |
:070B4100020C2A020B7702EF |
:060B48000BF2020BD302C8 |
:060B4E000C2A020C2A0231 |
:060B54000C2A020C2A022B |
:060B5A000C2A020B6502EB |
:050B60000C2A020B6EDF |
:090B650090E6BAE0F512020C2D35 |
:090B6E0090E6BAE0F513020C2D2B |
:0D0B770090E6B8E0FA53021FBA00028005B4 |
:050B8400BA0247800ADF |
:0A0B890090E6BAE01207C6020C2D38 |
:0D0B930090E6BAE0703090E6BCE0F582120A |
:0D0BA0000907E582602390E6BCE0F58212B3 |
:0E0BAD000926AA82AB83E0FC5304FE8A828BE9 |
:0E0BBB0083ECF090E6BCE0F5821207CE806479 |
:050BC9001207C6805F69 |
:050BCE001207C6805A69 |
:0E0BD30090E6B8E0FA53021FBA004F90E6BA5F |
:070BE100E0FABA01028005F1 |
:050BE800BA020280408A |
:050BED001207C6803B69 |
:0E0BF20090E6BAE0FA702C90E6BCE0F58212B4 |
:0D0C00000907E582601A90E6BCE0F582125B |
:0E0C0D000926AA82AB83E0FC4304018A828B95 |
:050C1B0083ECF0800DE8 |
:050C20001207C6800868 |
:050C25001207C6800368 |
:030C2A001207C6E8 |
:080C2D0090E6A0E04480F022F3 |
:050C3500AA82BA0300D1 |
:070C3A004005EA249C500173 |
:010C41002290 |
:090C4200740F5AFBBB030280078A |
:070C4B00740F5AFBBB0B45BF |
:0A0C5200A2AF9203C2AFEA2400F53E |
:0C0C5C0082E43400F5837402F07B007425 |
:0C0C6800012AFCE43BFDEC2400F582EDC9 |
:0A0C74003400F583E51DFCF0740266 |
:0C0C7E002AFAE43BFBEA2400F582EB3488 |
:0A0C8A0000F583AA1C7B00EAF0A22B |
:030C94000392AF19 |
:010C9700223A |
:080C9800E582FA2483500122D9 |
:060CA000EA54036001228A |
:0A0CA600A2AF9204C2AFEA2400F5E9 |
:0C0CB00082E43401F5837402F07B0074D0 |
:0C0CBC00012AFCE43BFDEC2400F582ED75 |
:0A0CC8003401F583E51DF074022AE3 |
:0B0CD200FAE43BFBEA2400F582EB345F |
:080CDD0001F583AA1CEAF0A254 |
:040CE5000492AF22A4 |
:050CE900AA82BA8000A0 |
:070CEE004005EA244B500110 |
:010CF50022DC |
:060CF600EA540360012234 |
:0A0CFC00A2AF9205C2AFEA2480F512 |
:0C0D060082E43400F5837402F07B00747A |
:0C0D1200012AFCE43BFDEC2480F582ED9E |
:0A0D1E003400F583E51DF074022A8D |
:0B0D2800FAE43BFBEA2480F582EB3488 |
:080D330000F583AA1CEAF0A2FE |
:040D3B000592AF224C |
:080D3F00C2E8C2EA751C007550 |
:060D47001D01758243123C |
:040D4D000C35751CD0 |
:030D510080751D8D |
:0C0D540000758253120C350090E650E44C |
:0E0D6000F00090E652E4F00090E654E4F0005B |
:0E0D6E0090E656E4F00090E65174FFF000901D |
:0E0D7C00E65374FFF00090E65574FFF000900F |
:0E0D8A00E65774FFF00090E658E4F090E6594A |
:0E0D980074FFF090E65AE4F090E65B74FFF012 |
:0E0DA60090E65CE4F090E65D74FFF090E65E8F |
:0E0DB400E4F090E65F74FFF00090E660E4F07B |
:0D0DC2000090E66174FFF090E662E4F090AE |
:0E0DCF00E66374FFF090E665E4F090E6687469 |
:0A0DDD000BF05391AFD2E8D2EA22E6 |
:010DE70022E9 |
:020DE800AA82DD |
:060DEA00120DE7DAFB2206 |
:030DF00090FB5025 |
:080DF300A3E582458370F9229B |
:040DFB00AA82AB839A |
:080DFF00120DF01ABAFF011BEE |
:050E0700EA4B70F4222B |
:030E1A00758121BE |
:0A0E1D001205DAE5826003020E15EB |
:00000001FF |
/tools/fx2/bin/nexys3_jtag.ihx
1,4 → 1,4
:06000000020DA702006BD7 |
:06000000020DAC02006BD2 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DAD00020DB57F |
:030DC800020DB069 |
:050DB0001203F180FEBA |
:030DB200020DBA75 |
:030DCD00020DB55F |
:050DB5001203F180FEB5 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C00112062DD001D000D005D0FE |
:0E02E600C000C001120632D001D000D005D0F9 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066A1201AAD005D0046C |
:0E031200C004C00512066F1201AAD005D00467 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120601C3 |
:0C03400030010F8A82C004C005120606BE |
:06034C00D005D004801072 |
:0E0352008A82C004C00512061F1201AAD0053F |
:0E0352008A82C004C0051206241201AAD0053A |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312090AB7 |
:0603E60030020312090FB2 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206B9121C |
:0603FA000450120CDA129F |
:080400000874D2AF1207830259 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E188E0F58212040AD002903E |
:0D04EC00E188E02AFAF582C00212040A90AD |
:0B04F900E1A6E0F58212040AD0029098 |
:0A050400E1A6E02AF58212040A9035 |
:0A050E00E1BEE0F58212040A7A0053 |
:0E05180090E188E0FBC3EA64808BF063F08022 |
:0B05260095F05017EA2488F582E434B9 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1A6E0FBC3EA64808BF063F080D9 |
:0B05510095F05017EA24A6F582E43470 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1BEE0FBC3EA64808BF063F08096 |
:0B057C0095F05017EA24BEF582E4342D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206BE12BF |
:0603FF000455120CDF1290 |
:080405000879D2AF120788024A |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E188E0F58212040FD0029034 |
:0D04F100E188E02AFAF582C00212040F90A3 |
:0B04FE00E1A6E0F58212040FD002908E |
:0A050900E1A6E02AF58212040F902B |
:0A051300E1BEE0F58212040F7A0049 |
:0E051D0090E188E0FBC3EA64808BF063F0801D |
:0B052B0095F05017EA2488F582E434B4 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1A6E0FBC3EA64808BF063F080D4 |
:0B05560095F05017EA24A6F582E4346B |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1BEE0FBC3EA64808BF063F08091 |
:0B05810095F05017EA24BEF582E43428 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
199,239 → 199,239
:0AE1B4005F006A007400610067005C |
:0EE1BE0012033000300030003000300030001E |
:04E1CC0030003000EF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0605FB009001F4020D96D0 |
:0E060100AA82ABB574FE5BF5F0741C45F0F5F3 |
:0E060F00B5EA1392B4EAA2E192B3EAA2E49231 |
:02061D00B22207 |
:0E061F00120601A2B0E433FA74024AF58222F8 |
:0E062D00E5821392B2D2B413C2B492B2D2B428 |
:0E063B0013C2B492B2D2B413C2B492B2D2B40B |
:0E06490013C2B492B2D2B413C2B492B2D2B4FD |
:0E06570013C2B492B2D2B413C2B492B2D2B4EF |
:0506650000C2B42222D6 |
:0E066A00E582A2B01392B2D2B4C2B4A2B01311 |
:0E06780092B2D2B4C2B4A2B01392B2D2B4C243 |
:0E068600B4A2B01392B2D2B4C2B4A2B0139216 |
:0E069400B2D2B4C2B4A2B01392B2D2B4C2B405 |
:0E06A200A2B01392B2D2B4C2B4A2B01392B2FC |
:0906B000D2B400C2B4F58222228A |
:0D06B90090E6007412F090E60174ABF09032 |
:0E06C600E6707480F00090E60274E0F00090A0 |
:0E06D400E60374F9F00090E6047480F00090E4 |
:0D06E200E60B7403F00090E610E4F00090C9 |
:0D06EF00E61174A0F00090E618E4F0009011 |
:0E06FC00E6047402F00090E61274A2F0009082 |
:0E070A00E6137402F00090E6147402F0009002 |
:0E071800E6157402F00090E6047404F0009000 |
:0E072600E6047406F00090E6047408F00090FB |
:0E073400E604E4F00090E619E4F00090E61A06 |
:0E074200E4F00090E61BE4F00090E60BE4F01B |
:0E075000000090E6917480F00090E6917480B5 |
:03075E00F0002286 |
:0807610090E6A0E04401F02243 |
:0E076900AA8274805AC423541FFB740F5A9046 |
:0C077700E6834BF090E683E04420F02283 |
:0E07830090E680E0440AF09000FA120D969085 |
:0E079100E65D74FFF090E65F74FFF05391EFA9 |
:08079F0090E680E054F7F0221F |
:060DC200E478FFF6D8FD05 |
:060DA70075120075130037 |
:0A07A70090E680E0FA30E7197514BF |
:0307B100007515BB |
:0307B400E17516D6 |
:0307B700127517A1 |
:0307BA00E17518CE |
:0307BD001C75198F |
:0307C000E1751AC6 |
:0307C30058751B4B |
:0207C600E1222E |
:0407C80075143C75F3 |
:0307CC0015E175BF |
:0307CF00164E754E |
:0307D20017E175B7 |
:0307D5001858753C |
:0307D80019E175AF |
:0307DB001A1C7570 |
:0307DE001BE122FA |
:0907E1005391EF75A100D2023220 |
:0C07EA00C021C0E0C0F0C082C083C0028B |
:0E07F600C003C004C005C006C007C000C0019B |
:0E080400C0D075D0005391EF75A1001207A768 |
:0E081200D0D0D001D000D007D006D005D00441 |
:0D082000D003D002D083D082D0F0D0E0D041 |
:02082D00213276 |
:0C082F00C021C0E0C0F0C082C083C00245 |
:0E083B00C003C004C005C006C007C000C00155 |
:0E084900C0D075D0005391EF75A1001207A723 |
:0E085700D0D0D001D000D007D006D005D004FC |
:0D086500D003D002D083D082D0F0D0E0D0FC |
:02087200213231 |
:050874001207A7751C2E |
:03087900E1751D09 |
:08087C0007758200120C3375B0 |
:030884001CEA75F6 |
:060887001D07758210122E |
:04088D000C33751C97 |
:030891002F751DA3 |
:0C08940008758214120C3390E65C74317D |
:0208A000F02244 |
:0D08A200E582547FFA24F75004758200228D |
:0708AF00BA01047582012269 |
:0808B600530201E4BA00010441 |
:0308BE00F582229E |
:0E08C100AA82BA010C90E6A1E0FB7C008B82BB |
:0308CF008C8322F5 |
:0E08D200BA810C90E6A2E0FB7C008B828C8346 |
:0108E00022F5 |
:0E08E10053027FEA700C90E6A0E0FB7C008BD7 |
:0408EF00828C832252 |
:0E08F30090E6A3E0FB7C00EAC313FA7D00EA66 |
:090901002BFBED3C8B82F58322F7 |
:0C090A00C20290E6B8E0FA530260BA00A6 |
:0309160002802834 |
:05091900BA2002800D70 |
:05091E00BA4002800E4A |
:05092300BA6002800330 |
:03092800020BC8F7 |
:06092B00120761020BC877 |
:0A093100120372E5826003020BC896 |
:06093B00120761020BC867 |
:0D09410090E6B8E0FA530280BA800280030D |
:03094E00020AC9D1 |
:0B09510090E6B9E0FABA0003020A4287 |
:05095C00BA060280351F |
:05096100BA0802800845 |
:05096600BA0A0280172F |
:03096B00020AC3BA |
:0C096E0090E740E512F090E68AE4F0907B |
:08097A00E68B7401F0020BC8CA |
:0C09820090E740E513F090E68AE4F09066 |
:08098E00E68B7401F0020BC8B6 |
:0A09960090E6BBE0FABA01028017F8 |
:0509A000BA02028038DC |
:0509A500BA03028059B5 |
:0509AA00BA0602801BEB |
:0509AF00BA0702803CC4 |
:0309B400020A3CF8 |
:0A09B700AA14AB1590E6B3EBF07B39 |
:0909C1000090E6B4EAF0020BC854 |
:0A09CA00AA16AB1790E6B3EBF07B22 |
:0909D4000090E6B4EAF0020BC841 |
:0A09DD00AA18AB1990E6B3EBF07B0B |
:0909E7000090E6B4EAF0020BC82E |
:0A09F000AA1AAB1B90E6B3EBF07BF4 |
:0909FA000090E6B4EAF0020BC81B |
:0E0A030090E6BAE0FA90E178E0FBC3EA9B408F |
:070A110006120761020BC889 |
:0C0A180090E6BAE075F002A42479F582A3 |
:0B0A240074E135F0F583E0FAA3E090E8 |
:0D0A2F00E6B3F07B0090E6B4EAF0020BC8DD |
:060A3C00120761020BC865 |
:0D0A420090E6B8E0FA53021FBA0002800AE5 |
:050A4F00BA0102801B4A |
:050A5400BA0266802CCF |
:0E0A590090E740E4F090E741F090E68AF090DC |
:080A6700E68B7402F0020BC8DB |
:0E0A6F0090E740E4F090E741F090E68AF090C6 |
:080A7D00E68B7402F0020BC8C5 |
:0E0A850090E6BCE0F5821208A2E582602590A2 |
:0E0A9300E6BCE0F5821208C1E0FA53020190C1 |
:0E0AA100E740EAF090E741E4F090E68AF0903A |
:080AAF00E68B7402F0020BC893 |
:060AB700120761020BC8EA |
:060ABD00120761020BC8E4 |
:060AC300120761020BC8DE |
:0C0AC90090E6B9E0FA24F45003020BC5DB |
:070AD500EA2A2A900ADC73F3 |
:070ADC00020BC5020B120220 |
:060AE3000B8D020B6E02F8 |
:060AE9000BC5020BC50263 |
:060AEF000BC5020BC5025D |
:060AF5000BC5020B00021C |
:050AFB000BC5020B0910 |
:090B000090E6BAE0F512020BC800 |
:090B090090E6BAE0F513020BC8F6 |
:0D0B120090E6B8E0FA53021FBA0002800519 |
:050B1F00BA0247800A44 |
:0A0B240090E6BAE0120761020BC868 |
:0D0B2E0090E6BAE0703090E6BCE0F582126F |
:0D0B3B0008A2E582602390E6BCE0F582127E |
:0E0B480008C1AA82AB83E0FC5304FE8A828BB4 |
:0E0B560083ECF090E6BCE0F582120769806443 |
:050B6400120761805F33 |
:050B6900120761805A33 |
:0E0B6E0090E6B8E0FA53021FBA004F90E6BAC4 |
:070B7C00E0FABA0102800556 |
:050B8300BA02028040EF |
:050B8800120761803B33 |
:0E0B8D0090E6BAE0FA702C90E6BCE0F5821219 |
:0D0B9B0008A2E582601A90E6BCE0F5821227 |
:0E0BA80008C1AA82AB83E0FC4304018A828B61 |
:050BB60083ECF0800D4E |
:050BBB00120761800833 |
:050BC000120761800333 |
:030BC500120761B3 |
:080BC80090E6A0E04480F02259 |
:050BD000AA82BA030037 |
:070BD5004005EA249C5001D9 |
:010BDC0022F6 |
:090BDD00740F5AFBBB03028007F0 |
:070BE600740F5AFBBB0B4525 |
:0A0BED00A2AF9203C2AFEA2400F5A4 |
:0C0BF70082E43400F5837402F07B00748B |
:0C0C0300012AFCE43BFDEC2400F582ED2E |
:0A0C0F003400F583E51DFCF07402CB |
:0C0C19002AFAE43BFBEA2400F582EB34ED |
:0A0C250000F583AA1C7B00EAF0A290 |
:030C2F000392AF7E |
:010C3200229F |
:080C3300E582FA24835001223E |
:060C3B00EA5403600122EF |
:0A0C4100A2AF9204C2AFEA2400F54E |
:0C0C4B0082E43401F5837402F07B007435 |
:0C0C5700012AFCE43BFDEC2400F582EDDA |
:0A0C63003401F583E51DF074022A48 |
:0B0C6D00FAE43BFBEA2400F582EB34C4 |
:080C780001F583AA1CEAF0A2B9 |
:040C80000492AF2209 |
:050C8400AA82BA800005 |
:070C89004005EA244B500175 |
:010C90002241 |
:060C9100EA540360012299 |
:0A0C9700A2AF9205C2AFEA2480F577 |
:0C0CA10082E43400F5837402F07B0074E0 |
:0C0CAD00012AFCE43BFDEC2480F582ED04 |
:0A0CB9003400F583E51DF074022AF3 |
:0B0CC300FAE43BFBEA2480F582EB34EE |
:080CCE0000F583AA1CEAF0A264 |
:040CD6000592AF22B2 |
:080CDA00C2E8C2EA751C0075B6 |
:060CE2001D0175824312A2 |
:040CE8000BD0751C9C |
:030CEC0080751DF3 |
:0C0CEF0000758253120BD00090E650E418 |
:0E0CFB00F00090E652E4F00090E654E4F000C1 |
:0E0D090090E656E4F00090E65174FFF0009082 |
:0E0D1700E65374FFF00090E65574FFF0009074 |
:0E0D2500E65774FFF00090E658E4F090E659AF |
:0E0D330074FFF090E65AE4F090E65B74FFF077 |
:0E0D410090E65CE4F090E65D74FFF090E65EF4 |
:0E0D4F00E4F090E65F74FFF00090E660E4F0E0 |
:0D0D5D000090E66174FFF090E662E4F09013 |
:0E0D6A00E66374FFF090E665E4F090E66874CE |
:0A0D78000BF05391AFD2E8D2EA224B |
:010D8200224E |
:020D8300AA8242 |
:060D8500120D82DAFB22D0 |
:030D8B0090FB508A |
:080D8E00A3E582458370F92200 |
:040D9600AA82AB83FF |
:080D9A00120D8B1ABAFF011BB8 |
:050DA200EA4B70F42291 |
:030DB50075812124 |
:0A0DB8001205D5E5826003020DB0BC |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:060600009001F4020D9BC5 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E6137402F00090E6147402F00090FD |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E619E4F00090E61A01 |
:0E074700E4F00090E61BE4F00090E60BE4F016 |
:0E075500000090E6917480F00090E6917480B0 |
:03076300F0002281 |
:0807660090E6A0E04401F0223E |
:0E076E00AA8274805AC423541FFB740F5A9041 |
:0C077C00E6834BF090E683E04420F0227E |
:0E07880090E680E0440AF09000FA120D9B907B |
:0E079600E65D74FFF090E65F74FFF05391EFA4 |
:0807A40090E680E054F7F0221A |
:060DC700E478FFF6D8FD00 |
:060DAC0075120075130032 |
:0A07AC0090E680E0FA30E7197514BA |
:0307B600007515B6 |
:0307B900E17516D1 |
:0307BC001275179C |
:0307BF00E17518C9 |
:0307C2001C75198A |
:0307C500E1751AC1 |
:0307C80058751B46 |
:0207CB00E12229 |
:0407CD0075143C75EE |
:0307D10015E175BA |
:0307D400164E7549 |
:0307D70017E175B2 |
:0307DA0018587537 |
:0307DD0019E175AA |
:0307E0001A1C756B |
:0307E3001BE122F5 |
:0907E6005391EF75A100D202321B |
:0C07EF00C021C0E0C0F0C082C083C00286 |
:0E07FB00C003C004C005C006C007C000C00196 |
:0E080900C0D075D0005391EF75A1001207AC5E |
:0E081700D0D0D001D000D007D006D005D0043C |
:0D082500D003D002D083D082D0F0D0E0D03C |
:02083200213271 |
:0C083400C021C0E0C0F0C082C083C00240 |
:0E084000C003C004C005C006C007C000C00150 |
:0E084E00C0D075D0005391EF75A1001207AC19 |
:0E085C00D0D0D001D000D007D006D005D004F7 |
:0D086A00D003D002D083D082D0F0D0E0D0F7 |
:0208770021322C |
:050879001207AC751C24 |
:03087E00E6751DFF |
:0808810007758200120C3875A6 |
:030889001CEF75EC |
:06088C001D077582101229 |
:040892000C38751C8D |
:0308960034751D99 |
:0C08990008758214120C3890E65C743173 |
:0208A500F0223F |
:0D08A700E582547FFA24F750047582002288 |
:0708B400BA01047582012264 |
:0808BB00530201E4BA0001043C |
:0308C300F5822299 |
:0E08C600AA82BA010C90E6A1E0FB7C008B82B6 |
:0308D4008C8322F0 |
:0E08D700BA810C90E6A2E0FB7C008B828C8341 |
:0108E50022F0 |
:0E08E60053027FEA700C90E6A0E0FB7C008BD2 |
:0408F400828C83224D |
:0E08F80090E6A3E0FB7C00EAC313FA7D00EA61 |
:090906002BFBED3C8B82F58322F2 |
:0C090F00C20290E6B8E0FA530260BA00A1 |
:03091B000280282F |
:05091E00BA2002800D6B |
:05092300BA4002800E45 |
:05092800BA600280032B |
:03092D00020BCDED |
:06093000120766020BCD68 |
:0A093600120372E5826003020BCD8C |
:06094000120766020BCD58 |
:0D09460090E6B8E0FA530280BA8002800308 |
:03095300020ACEC7 |
:0B09560090E6B9E0FABA0003020A477D |
:05096100BA060280351A |
:05096600BA0802800840 |
:05096B00BA0A0280172A |
:03097000020AC8B0 |
:0C09730090E740E512F090E68AE4F09076 |
:08097F00E68B7401F0020BCDC0 |
:0C09870090E740E513F090E68AE4F09061 |
:08099300E68B7401F0020BCDAC |
:0A099B0090E6BBE0FABA01028017F3 |
:0509A500BA02028038D7 |
:0509AA00BA03028059B0 |
:0509AF00BA0602801BE6 |
:0509B400BA0702803CBF |
:0309B900020A41EE |
:0A09BC00AA14AB1590E6B3EBF07B34 |
:0909C6000090E6B4EAF0020BCD4A |
:0A09CF00AA16AB1790E6B3EBF07B1D |
:0909D9000090E6B4EAF0020BCD37 |
:0A09E200AA18AB1990E6B3EBF07B06 |
:0909EC000090E6B4EAF0020BCD24 |
:0A09F500AA1AAB1B90E6B3EBF07BEF |
:0909FF000090E6B4EAF0020BCD11 |
:0E0A080090E6BAE0FA90E178E0FBC3EA9B408A |
:070A160006120766020BCD7A |
:0C0A1D0090E6BAE075F002A42479F5829E |
:0B0A290074E135F0F583E0FAA3E090E3 |
:0D0A3400E6B3F07B0090E6B4EAF0020BCDD3 |
:060A4100120766020BCD56 |
:0D0A470090E6B8E0FA53021FBA0002800AE0 |
:050A5400BA0102801B45 |
:050A5900BA0266802CCA |
:0E0A5E0090E740E4F090E741F090E68AF090D7 |
:080A6C00E68B7402F0020BCDD1 |
:0E0A740090E740E4F090E741F090E68AF090C1 |
:080A8200E68B7402F0020BCDBB |
:0E0A8A0090E6BCE0F5821208A7E58260259098 |
:0E0A9800E6BCE0F5821208C6E0FA53020190B7 |
:0E0AA600E740EAF090E741E4F090E68AF09035 |
:080AB400E68B7402F0020BCD89 |
:060ABC00120766020BCDDB |
:060AC200120766020BCDD5 |
:060AC800120766020BCDCF |
:0C0ACE0090E6B9E0FA24F45003020BCAD1 |
:070ADA00EA2A2A900AE173E9 |
:070AE100020BCA020B170211 |
:060AE8000B92020B7302E9 |
:060AEE000BCA020BCA0254 |
:060AF4000BCA020BCA024E |
:060AFA000BCA020B05020D |
:050B00000BCA020B0E00 |
:090B050090E6BAE0F512020BCDF6 |
:090B0E0090E6BAE0F513020BCDEC |
:0D0B170090E6B8E0FA53021FBA0002800514 |
:050B2400BA0247800A3F |
:0A0B290090E6BAE0120766020BCD59 |
:0D0B330090E6BAE0703090E6BCE0F582126A |
:0D0B400008A7E582602390E6BCE0F5821274 |
:0E0B4D0008C6AA82AB83E0FC5304FE8A828BAA |
:0E0B5B0083ECF090E6BCE0F58212076E806439 |
:050B6900120766805F29 |
:050B6E00120766805A29 |
:0E0B730090E6B8E0FA53021FBA004F90E6BABF |
:070B8100E0FABA0102800551 |
:050B8800BA02028040EA |
:050B8D00120766803B29 |
:0E0B920090E6BAE0FA702C90E6BCE0F5821214 |
:0D0BA00008A7E582601A90E6BCE0F582121D |
:0E0BAD0008C6AA82AB83E0FC4304018A828B57 |
:050BBB0083ECF0800D49 |
:050BC000120766800829 |
:050BC500120766800329 |
:030BCA00120766A9 |
:080BCD0090E6A0E04480F02254 |
:050BD500AA82BA030032 |
:070BDA004005EA249C5001D4 |
:010BE10022F1 |
:090BE200740F5AFBBB03028007EB |
:070BEB00740F5AFBBB0B4520 |
:0A0BF200A2AF9203C2AFEA2400F59F |
:0C0BFC0082E43400F5837402F07B007486 |
:0C0C0800012AFCE43BFDEC2400F582ED29 |
:0A0C14003400F583E51DFCF07402C6 |
:0C0C1E002AFAE43BFBEA2400F582EB34E8 |
:0A0C2A0000F583AA1C7B00EAF0A28B |
:030C34000392AF79 |
:010C3700229A |
:080C3800E582FA248350012239 |
:060C4000EA5403600122EA |
:0A0C4600A2AF9204C2AFEA2400F549 |
:0C0C500082E43401F5837402F07B007430 |
:0C0C5C00012AFCE43BFDEC2400F582EDD5 |
:0A0C68003401F583E51DF074022A43 |
:0B0C7200FAE43BFBEA2400F582EB34BF |
:080C7D0001F583AA1CEAF0A2B4 |
:040C85000492AF2204 |
:050C8900AA82BA800000 |
:070C8E004005EA244B500170 |
:010C9500223C |
:060C9600EA540360012294 |
:0A0C9C00A2AF9205C2AFEA2480F572 |
:0C0CA60082E43400F5837402F07B0074DB |
:0C0CB200012AFCE43BFDEC2480F582EDFF |
:0A0CBE003400F583E51DF074022AEE |
:0B0CC800FAE43BFBEA2480F582EB34E9 |
:080CD30000F583AA1CEAF0A25F |
:040CDB000592AF22AD |
:080CDF00C2E8C2EA751C0075B1 |
:060CE7001D01758243129D |
:040CED000BD5751C92 |
:030CF10080751DEE |
:0C0CF40000758253120BD50090E650E40E |
:0E0D0000F00090E652E4F00090E654E4F000BB |
:0E0D0E0090E656E4F00090E65174FFF000907D |
:0E0D1C00E65374FFF00090E65574FFF000906F |
:0E0D2A00E65774FFF00090E658E4F090E659AA |
:0E0D380074FFF090E65AE4F090E65B74FFF072 |
:0E0D460090E65CE4F090E65D74FFF090E65EEF |
:0E0D5400E4F090E65F74FFF00090E660E4F0DB |
:0D0D62000090E66174FFF090E662E4F0900E |
:0E0D6F00E66374FFF090E665E4F090E66874C9 |
:0A0D7D000BF05391AFD2E8D2EA2246 |
:010D87002249 |
:020D8800AA823D |
:060D8A00120D87DAFB22C6 |
:030D900090FB5085 |
:080D9300A3E582458370F922FB |
:040D9B00AA82AB83FA |
:080D9F00120D901ABAFF011BAE |
:050DA700EA4B70F4228C |
:030DBA007581211F |
:0A0DBD001205DAE5826003020DB5AD |
:00000001FF |
/tools/fx2/bin/nexys3_jtag_2fifo_ic.ihx
1,4 → 1,4
:06000000020DE602006B98 |
:06000000020DEB02006B93 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DEC00020DF401 |
:030E0700020DEFEA |
:050DEF001203F180FE7B |
:030DF100020DF9F7 |
:030E0C00020DF4E0 |
:050DF4001203F180FE76 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C00112062DD001D000D005D0FE |
:0E02E600C000C001120632D001D000D005D0F9 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066A1201AAD005D0046C |
:0E031200C004C00512066F1201AAD005D00467 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120601C3 |
:0C03400030010F8A82C004C005120606BE |
:06034C00D005D004801072 |
:0E0352008A82C004C00512061F1201AAD0053F |
:0E0352008A82C004C0051206241201AAD0053A |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312094978 |
:0603E60030020312094E73 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206B9121C |
:0603FA000450120D19125F |
:0804000008B3D2AF1207C202DB |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E196E0F58212040AD0029030 |
:0D04EC00E196E02AFAF582C00212040A909F |
:0B04F900E1B4E0F58212040AD002908A |
:0A050400E1B4E02AF58212040A9027 |
:0A050E00E1DEE0F58212040A7A0033 |
:0E05180090E196E0FBC3EA64808BF063F08014 |
:0B05260095F05017EA2496F582E434AB |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1B4E0FBC3EA64808BF063F080CB |
:0B05510095F05017EA24B4F582E43462 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1DEE0FBC3EA64808BF063F08076 |
:0B057C0095F05017EA24DEF582E4340D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206BE12BF |
:0603FF000455120D1E1250 |
:0804050008B8D2AF1207C702CC |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E196E0F58212040FD0029026 |
:0D04F100E196E02AFAF582C00212040F9095 |
:0B04FE00E1B4E0F58212040FD0029080 |
:0A050900E1B4E02AF58212040F901D |
:0A051300E1DEE0F58212040F7A0029 |
:0E051D0090E196E0FBC3EA64808BF063F0800F |
:0B052B0095F05017EA2496F582E434A6 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1B4E0FBC3EA64808BF063F080C6 |
:0B05560095F05017EA24B4F582E4345D |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1DEE0FBC3EA64808BF063F08071 |
:0B05810095F05017EA24DEF582E43408 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
201,243 → 201,243
:0EE1D0006600690066006F005F006900630072 |
:0EE1DE001203300030003000300030003000FE |
:04E1EC0030003000CF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0605FB009001F4020DD591 |
:0E060100AA82ABB574FE5BF5F0741C45F0F5F3 |
:0E060F00B5EA1392B4EAA2E192B3EAA2E49231 |
:02061D00B22207 |
:0E061F00120601A2B0E433FA74024AF58222F8 |
:0E062D00E5821392B2D2B413C2B492B2D2B428 |
:0E063B0013C2B492B2D2B413C2B492B2D2B40B |
:0E06490013C2B492B2D2B413C2B492B2D2B4FD |
:0E06570013C2B492B2D2B413C2B492B2D2B4EF |
:0506650000C2B42222D6 |
:0E066A00E582A2B01392B2D2B4C2B4A2B01311 |
:0E06780092B2D2B4C2B4A2B01392B2D2B4C243 |
:0E068600B4A2B01392B2D2B4C2B4A2B0139216 |
:0E069400B2D2B4C2B4A2B01392B2D2B4C2B405 |
:0E06A200A2B01392B2D2B4C2B4A2B01392B2FC |
:0906B000D2B400C2B4F58222228A |
:0D06B90090E6007412F090E60174A3F0903A |
:0E06C600E6707480F00090E60274E0F00090A0 |
:0E06D400E60374F9F00090E6047480F00090E4 |
:0D06E200E60B7403F00090E610E4F00090C9 |
:0D06EF00E61174A0F00090E618E4F0009011 |
:0E06FC00E6047402F00090E61274A2F0009082 |
:0E070A00E61374A2F00090E61474E0F0009084 |
:0E071800E6157402F00090E6047404F0009000 |
:0E072600E6047406F00090E6047408F00090FB |
:0E073400E604E4F00090E60BE4F00090E649E5 |
:0E0742007484F00090E6497484F00090E6198B |
:0E075000E4F00090E6197410F00090E63274A8 |
:0E075E0080F00090E6337404F00090E61A7408 |
:0E076C000CF00090E6247402F00090E625E404 |
:0E077A00F00090E6347419F00090E63574FC3F |
:0E078800F00090E61BE4F0000090E691748013 |
:0A079600F00090E6917480F000225C |
:0807A00090E6A0E04401F02204 |
:0E07A800AA8274805AC423541FFB740F5A9007 |
:0C07B600E6834BF090E683E04420F02244 |
:0E07C20090E680E0440AF09000FA120DD59007 |
:0E07D000E65D74FFF090E65F74FFF05391EF6A |
:0807DE0090E680E054F7F022E0 |
:060E0100E478FFF6D8FDC5 |
:060DE600751200751300F8 |
:0A07E60090E680E0FA30E719751480 |
:0307F0000075157C |
:0307F300E1751697 |
:0307F60012751762 |
:0307F900E175188F |
:0307FC001C751950 |
:0307FF00E1751A87 |
:0308020066751BFD |
:02080500E122EE |
:0408070075144A75A5 |
:03080B0015E1757F |
:03080E00165C7500 |
:0308110017E17577 |
:03081400186675EE |
:0308170019E1756F |
:03081A001A1C7530 |
:03081D001BE122BA |
:090820005391EF75A100D20232E0 |
:0C082900C021C0E0C0F0C082C083C0024B |
:0E083500C003C004C005C006C007C000C0015B |
:0E084300C0D075D0005391EF75A1001207E6EA |
:0E085100D0D0D001D000D007D006D005D00402 |
:0D085F00D003D002D083D082D0F0D0E0D002 |
:02086C00213237 |
:0C086E00C021C0E0C0F0C082C083C00206 |
:0E087A00C003C004C005C006C007C000C00116 |
:0E088800C0D075D0005391EF75A1001207E6A5 |
:0E089600D0D0D001D000D007D006D005D004BD |
:0D08A400D003D002D083D082D0F0D0E0D0BD |
:0208B1002132F2 |
:0508B3001207E6751CB0 |
:0308B80020751D8B |
:0808BB0008758200120C727531 |
:0308C3001C297578 |
:0608C6001D0875821012EE |
:0408CC000C72751C19 |
:0308D0006E751D25 |
:0C08D30008758214120C7290E65C7431FF |
:0208DF00F02205 |
:0D08E100E582547FFA24F75004758200224E |
:0708EE00BA0104758201222A |
:0808F500530201E4BA00010402 |
:0308FD00F582225F |
:0E090000AA82BA010C90E6A1E0FB7C008B827B |
:03090E008C8322B5 |
:0E091100BA810C90E6A2E0FB7C008B828C8306 |
:01091F0022B5 |
:0E09200053027FEA700C90E6A0E0FB7C008B97 |
:04092E00828C832212 |
:0E09320090E6A3E0FB7C00EAC313FA7D00EA26 |
:090940002BFBED3C8B82F58322B8 |
:0C094900C20290E6B8E0FA530260BA0067 |
:03095500028028F5 |
:05095800BA2002800D31 |
:05095D00BA4002800E0B |
:05096200BA60028003F1 |
:03096700020C0778 |
:06096A001207A0020C07B9 |
:0A097000120372E5826003020C0717 |
:06097A001207A0020C07A9 |
:0D09800090E6B8E0FA530280BA80028003CE |
:03098D00020B0852 |
:0B09900090E6B9E0FABA0003020A8109 |
:05099B00BA06028035E0 |
:0509A000BA0802800806 |
:0509A500BA0A028017F0 |
:0309AA00020B023B |
:0C09AD0090E740E512F090E68AE4F0903C |
:0809B900E68B7401F0020C074B |
:0C09C10090E740E513F090E68AE4F09027 |
:0809CD00E68B7401F0020C0737 |
:0A09D50090E6BBE0FABA01028017B9 |
:0509DF00BA020280389D |
:0509E400BA0302805976 |
:0509E900BA0602801BAC |
:0509EE00BA0702803C85 |
:0309F300020A7B7A |
:0A09F600AA14AB1590E6B3EBF07BFA |
:090A00000090E6B4EAF0020C07D4 |
:0A0A0900AA16AB1790E6B3EBF07BE2 |
:090A13000090E6B4EAF0020C07C1 |
:0A0A1C00AA18AB1990E6B3EBF07BCB |
:090A26000090E6B4EAF0020C07AE |
:0A0A2F00AA1AAB1B90E6B3EBF07BB4 |
:090A39000090E6B4EAF0020C079B |
:0E0A420090E6BAE0FA90E186E0FBC3EA9B4042 |
:070A5000061207A0020C07CB |
:0C0A570090E6BAE075F002A42487F58256 |
:0B0A630074E135F0F583E0FAA3E090A9 |
:0D0A6E00E6B3F07B0090E6B4EAF0020C075E |
:060A7B001207A0020C07A7 |
:0D0A810090E6B8E0FA53021FBA0002800AA6 |
:050A8E00BA0102801B0B |
:050A9300BA0266802C90 |
:0E0A980090E740E4F090E741F090E68AF0909D |
:080AA600E68B7402F0020C075C |
:0E0AAE0090E740E4F090E741F090E68AF09087 |
:080ABC00E68B7402F0020C0746 |
:0E0AC40090E6BCE0F5821208E1E58260259024 |
:0E0AD200E6BCE0F582120900E0FA5302019042 |
:0E0AE000E740EAF090E741E4F090E68AF090FB |
:080AEE00E68B7402F0020C0714 |
:060AF6001207A0020C072C |
:060AFC001207A0020C0726 |
:060B02001207A0020C071F |
:0C0B080090E6B9E0FA24F45003020C045B |
:070B1400EA2A2A900B1B7373 |
:070B1B00020C04020B510261 |
:060B22000BCC020BAD023A |
:060B28000C04020C0402A3 |
:060B2E000C04020C04029D |
:060B34000C04020B3F025D |
:050B3A000C04020B4851 |
:090B3F0090E6BAE0F512020C0781 |
:090B480090E6BAE0F513020C0777 |
:0D0B510090E6B8E0FA53021FBA00028005DA |
:050B5E00BA0247800A05 |
:0A0B630090E6BAE01207A0020C07AA |
:0D0B6D0090E6BAE0703090E6BCE0F5821230 |
:0D0B7A0008E1E582602390E6BCE0F5821200 |
:0E0B87000900AA82AB83E0FC5304FE8A828B35 |
:0E0B950083ECF090E6BCE0F5821207A88064C5 |
:050BA3001207A0805FB5 |
:050BA8001207A0805AB5 |
:0E0BAD0090E6B8E0FA53021FBA004F90E6BA85 |
:070BBB00E0FABA0102800517 |
:050BC200BA02028040B0 |
:050BC7001207A0803BB5 |
:0E0BCC0090E6BAE0FA702C90E6BCE0F58212DA |
:0D0BDA0008E1E582601A90E6BCE0F58212A9 |
:0E0BE7000900AA82AB83E0FC4304018A828BE2 |
:050BF50083ECF0800D0F |
:050BFA001207A08008B5 |
:050BFF001207A08003B5 |
:030C04001207A034 |
:080C070090E6A0E04480F02219 |
:050C0F00AA82BA0300F7 |
:070C14004005EA249C500199 |
:010C1B0022B6 |
:090C1C00740F5AFBBB03028007B0 |
:070C2500740F5AFBBB0B45E5 |
:0A0C2C00A2AF9203C2AFEA2400F564 |
:0C0C360082E43400F5837402F07B00744B |
:0C0C4200012AFCE43BFDEC2400F582EDEF |
:0A0C4E003400F583E51DFCF074028C |
:0C0C58002AFAE43BFBEA2400F582EB34AE |
:0A0C640000F583AA1C7B00EAF0A251 |
:030C6E000392AF3F |
:010C71002260 |
:080C7200E582FA2483500122FF |
:060C7A00EA5403600122B0 |
:0A0C8000A2AF9204C2AFEA2400F50F |
:0C0C8A0082E43401F5837402F07B0074F6 |
:0C0C9600012AFCE43BFDEC2400F582ED9B |
:0A0CA2003401F583E51DF074022A09 |
:0B0CAC00FAE43BFBEA2400F582EB3485 |
:080CB70001F583AA1CEAF0A27A |
:040CBF000492AF22CA |
:050CC300AA82BA8000C6 |
:070CC8004005EA244B500136 |
:010CCF002202 |
:060CD000EA54036001225A |
:0A0CD600A2AF9205C2AFEA2480F538 |
:0C0CE00082E43400F5837402F07B0074A1 |
:0C0CEC00012AFCE43BFDEC2480F582EDC5 |
:0A0CF8003400F583E51DF074022AB4 |
:0B0D0200FAE43BFBEA2480F582EB34AE |
:080D0D0000F583AA1CEAF0A224 |
:040D15000592AF2272 |
:080D1900C2E8C2EA751C007576 |
:060D21001D017582431262 |
:040D27000C0F751C1C |
:030D2B0080751DB3 |
:0C0D2E0000758253120C0F0090E650E498 |
:0E0D3A00F00090E652E4F00090E654E4F00081 |
:0E0D480090E656E4F00090E65174FFF0009043 |
:0E0D5600E65374FFF00090E65574FFF0009035 |
:0E0D6400E65774FFF00090E658E4F090E65970 |
:0E0D720074FFF090E65AE4F090E65B74FFF038 |
:0E0D800090E65CE4F090E65D74FFF090E65EB5 |
:0E0D8E00E4F090E65F74FFF00090E660E4F0A1 |
:0D0D9C000090E66174FFF090E662E4F090D4 |
:0E0DA900E66374FFF090E665E4F090E668748F |
:0A0DB7000BF05391AFD2E8D2EA220C |
:010DC100220F |
:020DC200AA8203 |
:060DC400120DC1DAFB2252 |
:030DCA0090FB504B |
:080DCD00A3E582458370F922C1 |
:040DD500AA82AB83C0 |
:080DD900120DCA1ABAFF011B3A |
:050DE100EA4B70F42252 |
:030DF400758121E5 |
:0A0DF7001205D5E5826003020DEF3E |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:060600009001F4020DDA86 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174A3F09035 |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E0F000907F |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347419F00090E63574FC3A |
:0E078D00F00090E61BE4F0000090E69174800E |
:0A079B00F00090E6917480F0002257 |
:0807A50090E6A0E04401F022FF |
:0E07AD00AA8274805AC423541FFB740F5A9002 |
:0C07BB00E6834BF090E683E04420F0223F |
:0E07C70090E680E0440AF09000FA120DDA90FD |
:0E07D500E65D74FFF090E65F74FFF05391EF65 |
:0807E30090E680E054F7F022DB |
:060E0600E478FFF6D8FDC0 |
:060DEB00751200751300F3 |
:0A07EB0090E680E0FA30E71975147B |
:0307F50000751577 |
:0307F800E1751692 |
:0307FB001275175D |
:0307FE00E175188A |
:030801001C75194A |
:03080400E1751A81 |
:0308070066751BF8 |
:02080A00E122E9 |
:04080C0075144A75A0 |
:0308100015E1757A |
:03081300165C75FB |
:0308160017E17572 |
:03081900186675E9 |
:03081C0019E1756A |
:03081F001A1C752B |
:030822001BE122B5 |
:090825005391EF75A100D20232DB |
:0C082E00C021C0E0C0F0C082C083C00246 |
:0E083A00C003C004C005C006C007C000C00156 |
:0E084800C0D075D0005391EF75A1001207EBE0 |
:0E085600D0D0D001D000D007D006D005D004FD |
:0D086400D003D002D083D082D0F0D0E0D0FD |
:02087100213232 |
:0C087300C021C0E0C0F0C082C083C00201 |
:0E087F00C003C004C005C006C007C000C00111 |
:0E088D00C0D075D0005391EF75A1001207EB9B |
:0E089B00D0D0D001D000D007D006D005D004B8 |
:0D08A900D003D002D083D082D0F0D0E0D0B8 |
:0208B6002132ED |
:0508B8001207EB751CA6 |
:0308BD0025751D81 |
:0808C00008758200120C777527 |
:0308C8001C2E756E |
:0608CB001D0875821012E9 |
:0408D1000C77751C0F |
:0308D50073751D1B |
:0C08D80008758214120C7790E65C7431F5 |
:0208E400F02200 |
:0D08E600E582547FFA24F750047582002249 |
:0708F300BA01047582012225 |
:0808FA00530201E4BA000104FD |
:03090200F5822259 |
:0E090500AA82BA010C90E6A1E0FB7C008B8276 |
:030913008C8322B0 |
:0E091600BA810C90E6A2E0FB7C008B828C8301 |
:0109240022B0 |
:0E09250053027FEA700C90E6A0E0FB7C008B92 |
:04093300828C83220D |
:0E09370090E6A3E0FB7C00EAC313FA7D00EA21 |
:090945002BFBED3C8B82F58322B3 |
:0C094E00C20290E6B8E0FA530260BA0062 |
:03095A00028028F0 |
:05095D00BA2002800D2C |
:05096200BA4002800E06 |
:05096700BA60028003EC |
:03096C00020C0C6E |
:06096F001207A5020C0CAA |
:0A097500120372E5826003020C0C0D |
:06097F001207A5020C0C9A |
:0D09850090E6B8E0FA530280BA80028003C9 |
:03099200020B0D48 |
:0B09950090E6B9E0FABA0003020A86FF |
:0509A000BA06028035DB |
:0509A500BA0802800801 |
:0509AA00BA0A028017EB |
:0309AF00020B0731 |
:0C09B20090E740E512F090E68AE4F09037 |
:0809BE00E68B7401F0020C0C41 |
:0C09C60090E740E513F090E68AE4F09022 |
:0809D200E68B7401F0020C0C2D |
:0A09DA0090E6BBE0FABA01028017B4 |
:0509E400BA0202803898 |
:0509E900BA0302805971 |
:0509EE00BA0602801BA7 |
:0509F300BA0702803C80 |
:0309F800020A8070 |
:0A09FB00AA14AB1590E6B3EBF07BF5 |
:090A05000090E6B4EAF0020C0CCA |
:0A0A0E00AA16AB1790E6B3EBF07BDD |
:090A18000090E6B4EAF0020C0CB7 |
:0A0A2100AA18AB1990E6B3EBF07BC6 |
:090A2B000090E6B4EAF0020C0CA4 |
:0A0A3400AA1AAB1B90E6B3EBF07BAF |
:090A3E000090E6B4EAF0020C0C91 |
:0E0A470090E6BAE0FA90E186E0FBC3EA9B403D |
:070A5500061207A5020C0CBC |
:0C0A5C0090E6BAE075F002A42487F58251 |
:0B0A680074E135F0F583E0FAA3E090A4 |
:0D0A7300E6B3F07B0090E6B4EAF0020C0C54 |
:060A80001207A5020C0C98 |
:0D0A860090E6B8E0FA53021FBA0002800AA1 |
:050A9300BA0102801B06 |
:050A9800BA0266802C8B |
:0E0A9D0090E740E4F090E741F090E68AF09098 |
:080AAB00E68B7402F0020C0C52 |
:0E0AB30090E740E4F090E741F090E68AF09082 |
:080AC100E68B7402F0020C0C3C |
:0E0AC90090E6BCE0F5821208E6E5826025901A |
:0E0AD700E6BCE0F582120905E0FA5302019038 |
:0E0AE500E740EAF090E741E4F090E68AF090F6 |
:080AF300E68B7402F0020C0C0A |
:060AFB001207A5020C0C1D |
:060B01001207A5020C0C16 |
:060B07001207A5020C0C10 |
:0C0B0D0090E6B9E0FA24F45003020C0951 |
:070B1900EA2A2A900B207369 |
:070B2000020C09020B560252 |
:060B27000BD1020BB2022B |
:060B2D000C09020C090294 |
:060B33000C09020C09028E |
:060B39000C09020B44024E |
:050B3F000C09020B4D42 |
:090B440090E6BAE0F512020C0C77 |
:090B4D0090E6BAE0F513020C0C6D |
:0D0B560090E6B8E0FA53021FBA00028005D5 |
:050B6300BA0247800A00 |
:0A0B680090E6BAE01207A5020C0C9B |
:0D0B720090E6BAE0703090E6BCE0F582122B |
:0D0B7F0008E6E582602390E6BCE0F58212F6 |
:0E0B8C000905AA82AB83E0FC5304FE8A828B2B |
:0E0B9A0083ECF090E6BCE0F5821207AD8064BB |
:050BA8001207A5805FAB |
:050BAD001207A5805AAB |
:0E0BB20090E6B8E0FA53021FBA004F90E6BA80 |
:070BC000E0FABA0102800512 |
:050BC700BA02028040AB |
:050BCC001207A5803BAB |
:0E0BD10090E6BAE0FA702C90E6BCE0F58212D5 |
:0D0BDF0008E6E582601A90E6BCE0F582129F |
:0E0BEC000905AA82AB83E0FC4304018A828BD8 |
:050BFA0083ECF0800D0A |
:050BFF001207A58008AB |
:050C04001207A58003AA |
:030C09001207A52A |
:080C0C0090E6A0E04480F02214 |
:050C1400AA82BA0300F2 |
:070C19004005EA249C500194 |
:010C200022B1 |
:090C2100740F5AFBBB03028007AB |
:070C2A00740F5AFBBB0B45E0 |
:0A0C3100A2AF9203C2AFEA2400F55F |
:0C0C3B0082E43400F5837402F07B007446 |
:0C0C4700012AFCE43BFDEC2400F582EDEA |
:0A0C53003400F583E51DFCF0740287 |
:0C0C5D002AFAE43BFBEA2400F582EB34A9 |
:0A0C690000F583AA1C7B00EAF0A24C |
:030C73000392AF3A |
:010C7600225B |
:080C7700E582FA2483500122FA |
:060C7F00EA5403600122AB |
:0A0C8500A2AF9204C2AFEA2400F50A |
:0C0C8F0082E43401F5837402F07B0074F1 |
:0C0C9B00012AFCE43BFDEC2400F582ED96 |
:0A0CA7003401F583E51DF074022A04 |
:0B0CB100FAE43BFBEA2400F582EB3480 |
:080CBC0001F583AA1CEAF0A275 |
:040CC4000492AF22C5 |
:050CC800AA82BA8000C1 |
:070CCD004005EA244B500131 |
:010CD40022FD |
:060CD500EA540360012255 |
:0A0CDB00A2AF9205C2AFEA2480F533 |
:0C0CE50082E43400F5837402F07B00749C |
:0C0CF100012AFCE43BFDEC2480F582EDC0 |
:0A0CFD003400F583E51DF074022AAF |
:0B0D0700FAE43BFBEA2480F582EB34A9 |
:080D120000F583AA1CEAF0A21F |
:040D1A000592AF226D |
:080D1E00C2E8C2EA751C007571 |
:060D26001D01758243125D |
:040D2C000C14751C12 |
:030D300080751DAE |
:0C0D330000758253120C140090E650E48E |
:0E0D3F00F00090E652E4F00090E654E4F0007C |
:0E0D4D0090E656E4F00090E65174FFF000903E |
:0E0D5B00E65374FFF00090E65574FFF0009030 |
:0E0D6900E65774FFF00090E658E4F090E6596B |
:0E0D770074FFF090E65AE4F090E65B74FFF033 |
:0E0D850090E65CE4F090E65D74FFF090E65EB0 |
:0E0D9300E4F090E65F74FFF00090E660E4F09C |
:0D0DA1000090E66174FFF090E662E4F090CF |
:0E0DAE00E66374FFF090E665E4F090E668748A |
:0A0DBC000BF05391AFD2E8D2EA2207 |
:010DC600220A |
:020DC700AA82FE |
:060DC900120DC6DAFB2248 |
:030DCF0090FB5046 |
:080DD200A3E582458370F922BC |
:040DDA00AA82AB83BB |
:080DDE00120DCF1ABAFF011B30 |
:050DE600EA4B70F4224D |
:030DF900758121E0 |
:0A0DFC001205DAE5826003020DF42F |
:00000001FF |
/tools/fx2/bin/nexys3_jtag_3fifo_ic.ihx
1,4 → 1,4
:06000000020E0202006B7B |
:06000000020E0702006B76 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030E0800020E10C7 |
:030E2300020E0BB1 |
:050E0B001203F180FE5E |
:030E0D00020E15BD |
:030E2800020E10A7 |
:050E10001203F180FE59 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C00112062DD001D000D005D0FE |
:0E02E600C000C001120632D001D000D005D0F9 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066A1201AAD005D0046C |
:0E031200C004C00512066F1201AAD005D00467 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120601C3 |
:0C03400030010F8A82C004C005120606BE |
:06034C00D005D004801072 |
:0E0352008A82C004C00512061F1201AAD0053F |
:0E0352008A82C004C0051206241201AAD0053A |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E6003002031209655C |
:0603E60030020312096A57 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206B9121C |
:0603FA000450120D351243 |
:0804000008CFD2AF1207DE02A3 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E19EE0F58212040AD0029028 |
:0D04EC00E19EE02AFAF582C00212040A9097 |
:0B04F900E1BCE0F58212040AD0029082 |
:0A050400E1BCE02AF58212040A901F |
:0A050E00E1E6E0F58212040A7A002B |
:0E05180090E19EE0FBC3EA64808BF063F0800C |
:0B05260095F05017EA249EF582E434A3 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1BCE0FBC3EA64808BF063F080C3 |
:0B05510095F05017EA24BCF582E4345A |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1E6E0FBC3EA64808BF063F0806E |
:0B057C0095F05017EA24E6F582E43405 |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206BE12BF |
:0603FF000455120D3A1234 |
:0804050008D4D2AF1207E30294 |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E19EE0F58212040FD002901E |
:0D04F100E19EE02AFAF582C00212040F908D |
:0B04FE00E1BCE0F58212040FD0029078 |
:0A050900E1BCE02AF58212040F9015 |
:0A051300E1E6E0F58212040F7A0021 |
:0E051D0090E19EE0FBC3EA64808BF063F08007 |
:0B052B0095F05017EA249EF582E4349E |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1BCE0FBC3EA64808BF063F080BE |
:0B05560095F05017EA24BCF582E43455 |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1E6E0FBC3EA64808BF063F08069 |
:0B05810095F05017EA24E6F582E43400 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
202,245 → 202,245
:0EE1D8006600690066006F005F00690063006A |
:0EE1E6001203300030003000300030003000F6 |
:04E1F40030003000C7 |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0605FB009001F4020DF175 |
:0E060100AA82ABB574FE5BF5F0741C45F0F5F3 |
:0E060F00B5EA1392B4EAA2E192B3EAA2E49231 |
:02061D00B22207 |
:0E061F00120601A2B0E433FA74024AF58222F8 |
:0E062D00E5821392B2D2B413C2B492B2D2B428 |
:0E063B0013C2B492B2D2B413C2B492B2D2B40B |
:0E06490013C2B492B2D2B413C2B492B2D2B4FD |
:0E06570013C2B492B2D2B413C2B492B2D2B4EF |
:0506650000C2B42222D6 |
:0E066A00E582A2B01392B2D2B4C2B4A2B01311 |
:0E06780092B2D2B4C2B4A2B01392B2D2B4C243 |
:0E068600B4A2B01392B2D2B4C2B4A2B0139216 |
:0E069400B2D2B4C2B4A2B01392B2D2B4C2B405 |
:0E06A200A2B01392B2D2B4C2B4A2B01392B2FC |
:0906B000D2B400C2B4F58222228A |
:0D06B90090E6007412F090E60174A3F0903A |
:0E06C600E6707480F00090E60274E0F00090A0 |
:0E06D400E60374F9F00090E6047480F00090E4 |
:0D06E200E60B7403F00090E610E4F00090C9 |
:0D06EF00E61174A0F00090E618E4F0009011 |
:0E06FC00E6047402F00090E61274A2F0009082 |
:0E070A00E61374A2F00090E61474E2F0009082 |
:0E071800E61574E2F00090E6047404F0009020 |
:0E072600E6047406F00090E6047408F00090FB |
:0E073400E604E4F00090E60BE4F00090E649E5 |
:0E0742007484F00090E6497484F00090E6198B |
:0E075000E4F00090E6197410F00090E63274A8 |
:0E075E0080F00090E6337404F00090E61A7408 |
:0E076C000CF00090E6247402F00090E625E404 |
:0E077A00F00090E6347409F00090E63574FC4F |
:0E078800F00090E61B740CF00090E626740260 |
:0E079600F00090E627E4F00090E6367441F0A3 |
:0E07A4000090E63774FCF0000090E69174803F |
:0A07B200F00090E6917480F0002240 |
:0807BC0090E6A0E04401F022E8 |
:0E07C400AA8274805AC423541FFB740F5A90EB |
:0C07D200E6834BF090E683E04420F02228 |
:0E07DE0090E680E0440AF09000FA120DF190CF |
:0E07EC00E65D74FFF090E65F74FFF05391EF4E |
:0807FA0090E680E054F7F022C4 |
:060E1D00E478FFF6D8FDA9 |
:060E0200751200751300DB |
:0A08020090E680E0FA30E719751463 |
:03080C000075155F |
:03080F00E175167A |
:0308120012751745 |
:03081500E1751872 |
:030818001C751933 |
:03081B00E1751A6A |
:03081E006E751BD9 |
:02082100E122D2 |
:040823007514527581 |
:0308270015E17563 |
:03082A00166475DC |
:03082D0017E1755B |
:03083000186E75CA |
:0308330019E17553 |
:030836001A1C7514 |
:030839001BE1229E |
:09083C005391EF75A100D20232C4 |
:0C084500C021C0E0C0F0C082C083C0022F |
:0E085100C003C004C005C006C007C000C0013F |
:0E085F00C0D075D0005391EF75A100120802B1 |
:0E086D00D0D0D001D000D007D006D005D004E6 |
:0D087B00D003D002D083D082D0F0D0E0D0E6 |
:0208880021321B |
:0C088A00C021C0E0C0F0C082C083C002EA |
:0E089600C003C004C005C006C007C000C001FA |
:0E08A400C0D075D0005391EF75A1001208026C |
:0E08B200D0D0D001D000D007D006D005D004A1 |
:0D08C000D003D002D083D082D0F0D0E0D0A1 |
:0208CD002132D6 |
:0508CF00120802751C77 |
:0308D4003C751D53 |
:0808D70008758200120C8E75F9 |
:0308DF001C457540 |
:0608E2001D0875821012D2 |
:0408E8000C8E751CE1 |
:0308EC008A751DED |
:0C08EF0008758214120C8E90E65C7431C7 |
:0208FB00F022E9 |
:0D08FD00E582547FFA24F750047582002232 |
:07090A00BA0104758201220D |
:08091100530201E4BA000104E5 |
:03091900F5822242 |
:0E091C00AA82BA010C90E6A1E0FB7C008B825F |
:03092A008C832299 |
:0E092D00BA810C90E6A2E0FB7C008B828C83EA |
:01093B002299 |
:0E093C0053027FEA700C90E6A0E0FB7C008B7B |
:04094A00828C8322F6 |
:0E094E0090E6A3E0FB7C00EAC313FA7D00EA0A |
:09095C002BFBED3C8B82F583229C |
:0C096500C20290E6B8E0FA530260BA004B |
:03097100028028D9 |
:05097400BA2002800D15 |
:05097900BA4002800EEF |
:05097E00BA60028003D5 |
:03098300020C2340 |
:060986001207BC020C2365 |
:0A098C00120372E5826003020C23DF |
:060996001207BC020C2355 |
:0D099C0090E6B8E0FA530280BA80028003B2 |
:0309A900020B241A |
:0B09AC0090E6B9E0FABA0003020A9DD1 |
:0509B700BA06028035C4 |
:0509BC00BA08028008EA |
:0509C100BA0A028017D4 |
:0309C600020B1E03 |
:0C09C90090E740E512F090E68AE4F09020 |
:0809D500E68B7401F0020C2313 |
:0C09DD0090E740E513F090E68AE4F0900B |
:0809E900E68B7401F0020C23FF |
:0A09F10090E6BBE0FABA010280179D |
:0509FB00BA0202803881 |
:050A0000BA0302805959 |
:050A0500BA0602801B8F |
:050A0A00BA0702803C68 |
:030A0F00020A9741 |
:0A0A1200AA14AB1590E6B3EBF07BDD |
:090A1C000090E6B4EAF0020C239C |
:0A0A2500AA16AB1790E6B3EBF07BC6 |
:090A2F000090E6B4EAF0020C2389 |
:0A0A3800AA18AB1990E6B3EBF07BAF |
:090A42000090E6B4EAF0020C2376 |
:0A0A4B00AA1AAB1B90E6B3EBF07B98 |
:090A55000090E6B4EAF0020C2363 |
:0E0A5E0090E6BAE0FA90E18EE0FBC3EA9B401E |
:070A6C00061207BC020C2377 |
:0C0A730090E6BAE075F002A4248FF58232 |
:0B0A7F0074E135F0F583E0FAA3E0908D |
:0D0A8A00E6B3F07B0090E6B4EAF0020C2326 |
:060A97001207BC020C2353 |
:0D0A9D0090E6B8E0FA53021FBA0002800A8A |
:050AAA00BA0102801BEF |
:050AAF00BA0266802C74 |
:0E0AB40090E740E4F090E741F090E68AF09081 |
:080AC200E68B7402F0020C2324 |
:0E0ACA0090E740E4F090E741F090E68AF0906B |
:080AD800E68B7402F0020C230E |
:0E0AE00090E6BCE0F5821208FDE582602590EC |
:0E0AEE00E6BCE0F58212091CE0FA530201900A |
:0E0AFC00E740EAF090E741E4F090E68AF090DF |
:080B0A00E68B7402F0020C23DB |
:060B12001207BC020C23D7 |
:060B18001207BC020C23D1 |
:060B1E001207BC020C23CB |
:0C0B240090E6B9E0FA24F45003020C2023 |
:070B3000EA2A2A900B37733B |
:070B3700020C20020B6D020D |
:060B3E000BE8020BC902E6 |
:060B44000C20020C20024F |
:060B4A000C20020C200249 |
:060B50000C20020B5B0209 |
:050B56000C20020B64FD |
:090B5B0090E6BAE0F512020C2349 |
:090B640090E6BAE0F513020C233F |
:0D0B6D0090E6B8E0FA53021FBA00028005BE |
:050B7A00BA0247800AE9 |
:0A0B7F0090E6BAE01207BC020C2356 |
:0D0B890090E6BAE0703090E6BCE0F5821214 |
:0D0B960008FDE582602390E6BCE0F58212C8 |
:0E0BA300091CAA82AB83E0FC5304FE8A828BFD |
:0E0BB10083ECF090E6BCE0F5821207C480648D |
:050BBF001207BC805F7D |
:050BC4001207BC805A7D |
:0E0BC90090E6B8E0FA53021FBA004F90E6BA69 |
:070BD700E0FABA01028005FB |
:050BDE00BA0202804094 |
:050BE3001207BC803B7D |
:0E0BE80090E6BAE0FA702C90E6BCE0F58212BE |
:0D0BF60008FDE582601A90E6BCE0F5821271 |
:0E0C0300091CAA82AB83E0FC4304018A828BA9 |
:050C110083ECF0800DF2 |
:050C16001207BC80087C |
:050C1B001207BC80037C |
:030C20001207BCFC |
:080C230090E6A0E04480F022FD |
:050C2B00AA82BA0300DB |
:070C30004005EA249C50017D |
:010C3700229A |
:090C3800740F5AFBBB0302800794 |
:070C4100740F5AFBBB0B45C9 |
:0A0C4800A2AF9203C2AFEA2400F548 |
:0C0C520082E43400F5837402F07B00742F |
:0C0C5E00012AFCE43BFDEC2400F582EDD3 |
:0A0C6A003400F583E51DFCF0740270 |
:0C0C74002AFAE43BFBEA2400F582EB3492 |
:0A0C800000F583AA1C7B00EAF0A235 |
:030C8A000392AF23 |
:010C8D002244 |
:080C8E00E582FA2483500122E3 |
:060C9600EA540360012294 |
:0A0C9C00A2AF9204C2AFEA2400F5F3 |
:0C0CA60082E43401F5837402F07B0074DA |
:0C0CB200012AFCE43BFDEC2400F582ED7F |
:0A0CBE003401F583E51DF074022AED |
:0B0CC800FAE43BFBEA2400F582EB3469 |
:080CD30001F583AA1CEAF0A25E |
:040CDB000492AF22AE |
:050CDF00AA82BA8000AA |
:070CE4004005EA244B50011A |
:010CEB0022E6 |
:060CEC00EA54036001223E |
:0A0CF200A2AF9205C2AFEA2480F51C |
:0C0CFC0082E43400F5837402F07B007485 |
:0C0D0800012AFCE43BFDEC2480F582EDA8 |
:0A0D14003400F583E51DF074022A97 |
:0B0D1E00FAE43BFBEA2480F582EB3492 |
:080D290000F583AA1CEAF0A208 |
:040D31000592AF2256 |
:080D3500C2E8C2EA751C00755A |
:060D3D001D017582431246 |
:040D43000C2B751CE4 |
:030D470080751D97 |
:0C0D4A0000758253120C2B0090E650E460 |
:0E0D5600F00090E652E4F00090E654E4F00065 |
:0E0D640090E656E4F00090E65174FFF0009027 |
:0E0D7200E65374FFF00090E65574FFF0009019 |
:0E0D8000E65774FFF00090E658E4F090E65954 |
:0E0D8E0074FFF090E65AE4F090E65B74FFF01C |
:0E0D9C0090E65CE4F090E65D74FFF090E65E99 |
:0E0DAA00E4F090E65F74FFF00090E660E4F085 |
:0D0DB8000090E66174FFF090E662E4F090B8 |
:0E0DC500E66374FFF090E665E4F090E6687473 |
:0A0DD3000BF05391AFD2E8D2EA22F0 |
:010DDD0022F3 |
:020DDE00AA82E7 |
:060DE000120DDDDAFB221A |
:030DE60090FB502F |
:080DE900A3E582458370F922A5 |
:040DF100AA82AB83A4 |
:080DF500120DE61ABAFF011B02 |
:050DFD00EA4B70F42236 |
:030E1000758121C8 |
:0A0E13001205D5E5826003020E0B04 |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:060600009001F4020DF66A |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174A3F09035 |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E2F000907D |
:0E071D00E61574E2F00090E6047404F000901B |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347409F00090E63574FC4A |
:0E078D00F00090E61B740CF00090E62674025B |
:0E079B00F00090E627E4F00090E6367441F09E |
:0E07A9000090E63774FCF0000090E69174803A |
:0A07B700F00090E6917480F000223B |
:0807C10090E6A0E04401F022E3 |
:0E07C900AA8274805AC423541FFB740F5A90E6 |
:0C07D700E6834BF090E683E04420F02223 |
:0E07E30090E680E0440AF09000FA120DF690C5 |
:0E07F100E65D74FFF090E65F74FFF05391EF49 |
:0807FF0090E680E054F7F022BF |
:060E2200E478FFF6D8FDA4 |
:060E0700751200751300D6 |
:0A08070090E680E0FA30E71975145E |
:030811000075155A |
:03081400E1751675 |
:0308170012751740 |
:03081A00E175186D |
:03081D001C75192E |
:03082000E1751A65 |
:030823006E751BD4 |
:02082600E122CD |
:04082800751452757C |
:03082C0015E1755E |
:03082F00166475D7 |
:0308320017E17556 |
:03083500186E75C5 |
:0308380019E1754E |
:03083B001A1C750F |
:03083E001BE12299 |
:090841005391EF75A100D20232BF |
:0C084A00C021C0E0C0F0C082C083C0022A |
:0E085600C003C004C005C006C007C000C0013A |
:0E086400C0D075D0005391EF75A100120807A7 |
:0E087200D0D0D001D000D007D006D005D004E1 |
:0D088000D003D002D083D082D0F0D0E0D0E1 |
:02088D00213216 |
:0C088F00C021C0E0C0F0C082C083C002E5 |
:0E089B00C003C004C005C006C007C000C001F5 |
:0E08A900C0D075D0005391EF75A10012080762 |
:0E08B700D0D0D001D000D007D006D005D0049C |
:0D08C500D003D002D083D082D0F0D0E0D09C |
:0208D2002132D1 |
:0508D400120807751C6D |
:0308D90041751D49 |
:0808DC0008758200120C9375EF |
:0308E4001C4A7536 |
:0608E7001D0875821012CD |
:0408ED000C93751CD7 |
:0308F1008F751DE3 |
:0C08F40008758214120C9390E65C7431BD |
:02090000F022E3 |
:0D090200E582547FFA24F75004758200222C |
:07090F00BA01047582012208 |
:08091600530201E4BA000104E0 |
:03091E00F582223D |
:0E092100AA82BA010C90E6A1E0FB7C008B825A |
:03092F008C832294 |
:0E093200BA810C90E6A2E0FB7C008B828C83E5 |
:010940002294 |
:0E09410053027FEA700C90E6A0E0FB7C008B76 |
:04094F00828C8322F1 |
:0E09530090E6A3E0FB7C00EAC313FA7D00EA05 |
:090961002BFBED3C8B82F5832297 |
:0C096A00C20290E6B8E0FA530260BA0046 |
:03097600028028D4 |
:05097900BA2002800D10 |
:05097E00BA4002800EEA |
:05098300BA60028003D0 |
:03098800020C2836 |
:06098B001207C1020C2856 |
:0A099100120372E5826003020C28D5 |
:06099B001207C1020C2846 |
:0D09A10090E6B8E0FA530280BA80028003AD |
:0309AE00020B2910 |
:0B09B10090E6B9E0FABA0003020AA2C7 |
:0509BC00BA06028035BF |
:0509C100BA08028008E5 |
:0509C600BA0A028017CF |
:0309CB00020B23F9 |
:0C09CE0090E740E512F090E68AE4F0901B |
:0809DA00E68B7401F0020C2809 |
:0C09E20090E740E513F090E68AE4F09006 |
:0809EE00E68B7401F0020C28F5 |
:0A09F60090E6BBE0FABA0102801798 |
:050A0000BA020280387B |
:050A0500BA0302805954 |
:050A0A00BA0602801B8A |
:050A0F00BA0702803C63 |
:030A1400020A9C37 |
:0A0A1700AA14AB1590E6B3EBF07BD8 |
:090A21000090E6B4EAF0020C2892 |
:0A0A2A00AA16AB1790E6B3EBF07BC1 |
:090A34000090E6B4EAF0020C287F |
:0A0A3D00AA18AB1990E6B3EBF07BAA |
:090A47000090E6B4EAF0020C286C |
:0A0A5000AA1AAB1B90E6B3EBF07B93 |
:090A5A000090E6B4EAF0020C2859 |
:0E0A630090E6BAE0FA90E18EE0FBC3EA9B4019 |
:070A7100061207C1020C2868 |
:0C0A780090E6BAE075F002A4248FF5822D |
:0B0A840074E135F0F583E0FAA3E09088 |
:0D0A8F00E6B3F07B0090E6B4EAF0020C281C |
:060A9C001207C1020C2844 |
:0D0AA20090E6B8E0FA53021FBA0002800A85 |
:050AAF00BA0102801BEA |
:050AB400BA0266802C6F |
:0E0AB90090E740E4F090E741F090E68AF0907C |
:080AC700E68B7402F0020C281A |
:0E0ACF0090E740E4F090E741F090E68AF09066 |
:080ADD00E68B7402F0020C2804 |
:0E0AE50090E6BCE0F582120902E582602590E1 |
:0E0AF300E6BCE0F582120921E0FA5302019000 |
:0E0B0100E740EAF090E741E4F090E68AF090D9 |
:080B0F00E68B7402F0020C28D1 |
:060B17001207C1020C28C8 |
:060B1D001207C1020C28C2 |
:060B23001207C1020C28BC |
:0C0B290090E6B9E0FA24F45003020C2519 |
:070B3500EA2A2A900B3C7331 |
:070B3C00020C25020B7202FE |
:060B43000BED020BCE02D7 |
:060B49000C25020C250240 |
:060B4F000C25020C25023A |
:060B55000C25020B6002FA |
:050B5B000C25020B69EE |
:090B600090E6BAE0F512020C283F |
:090B690090E6BAE0F513020C2835 |
:0D0B720090E6B8E0FA53021FBA00028005B9 |
:050B7F00BA0247800AE4 |
:0A0B840090E6BAE01207C1020C2847 |
:0D0B8E0090E6BAE0703090E6BCE0F582120F |
:0D0B9B000902E582602390E6BCE0F58212BD |
:0E0BA8000921AA82AB83E0FC5304FE8A828BF3 |
:0E0BB60083ECF090E6BCE0F5821207C9806483 |
:050BC4001207C1805F73 |
:050BC9001207C1805A73 |
:0E0BCE0090E6B8E0FA53021FBA004F90E6BA64 |
:070BDC00E0FABA01028005F6 |
:050BE300BA020280408F |
:050BE8001207C1803B73 |
:0E0BED0090E6BAE0FA702C90E6BCE0F58212B9 |
:0D0BFB000902E582601A90E6BCE0F5821266 |
:0E0C08000921AA82AB83E0FC4304018A828B9F |
:050C160083ECF0800DED |
:050C1B001207C1800872 |
:050C20001207C1800372 |
:030C25001207C1F2 |
:080C280090E6A0E04480F022F8 |
:050C3000AA82BA0300D6 |
:070C35004005EA249C500178 |
:010C3C002295 |
:090C3D00740F5AFBBB030280078F |
:070C4600740F5AFBBB0B45C4 |
:0A0C4D00A2AF9203C2AFEA2400F543 |
:0C0C570082E43400F5837402F07B00742A |
:0C0C6300012AFCE43BFDEC2400F582EDCE |
:0A0C6F003400F583E51DFCF074026B |
:0C0C79002AFAE43BFBEA2400F582EB348D |
:0A0C850000F583AA1C7B00EAF0A230 |
:030C8F000392AF1E |
:010C9200223F |
:080C9300E582FA2483500122DE |
:060C9B00EA54036001228F |
:0A0CA100A2AF9204C2AFEA2400F5EE |
:0C0CAB0082E43401F5837402F07B0074D5 |
:0C0CB700012AFCE43BFDEC2400F582ED7A |
:0A0CC3003401F583E51DF074022AE8 |
:0B0CCD00FAE43BFBEA2400F582EB3464 |
:080CD80001F583AA1CEAF0A259 |
:040CE0000492AF22A9 |
:050CE400AA82BA8000A5 |
:070CE9004005EA244B500115 |
:010CF00022E1 |
:060CF100EA540360012239 |
:0A0CF700A2AF9205C2AFEA2480F517 |
:0C0D010082E43400F5837402F07B00747F |
:0C0D0D00012AFCE43BFDEC2480F582EDA3 |
:0A0D19003400F583E51DF074022A92 |
:0B0D2300FAE43BFBEA2480F582EB348D |
:080D2E0000F583AA1CEAF0A203 |
:040D36000592AF2251 |
:080D3A00C2E8C2EA751C007555 |
:060D42001D017582431241 |
:040D48000C30751CDA |
:030D4C0080751D92 |
:0C0D4F0000758253120C300090E650E456 |
:0E0D5B00F00090E652E4F00090E654E4F00060 |
:0E0D690090E656E4F00090E65174FFF0009022 |
:0E0D7700E65374FFF00090E65574FFF0009014 |
:0E0D8500E65774FFF00090E658E4F090E6594F |
:0E0D930074FFF090E65AE4F090E65B74FFF017 |
:0E0DA10090E65CE4F090E65D74FFF090E65E94 |
:0E0DAF00E4F090E65F74FFF00090E660E4F080 |
:0D0DBD000090E66174FFF090E662E4F090B3 |
:0E0DCA00E66374FFF090E665E4F090E668746E |
:0A0DD8000BF05391AFD2E8D2EA22EB |
:010DE20022EE |
:020DE300AA82E2 |
:060DE500120DE2DAFB2210 |
:030DEB0090FB502A |
:080DEE00A3E582458370F922A0 |
:040DF600AA82AB839F |
:080DFA00120DEB1ABAFF011BF8 |
:050E0200EA4B70F42230 |
:030E1500758121C3 |
:0A0E18001205DAE5826003020E10F5 |
:00000001FF |
/tools/fx2/bin/nexys2_jtag_2fifo_as.ihx
1,4 → 1,4
:06000000020DEB02006B93 |
:06000000020DF002006B8E |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DF100020DF9F7 |
:030E0C00020DF4E0 |
:050DF4001203F180FE76 |
:030DF600020DFEED |
:030E1100020DF9D6 |
:050DF9001203F180FE71 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C001120632D001D000D005D0F9 |
:0E02E600C000C001120637D001D000D005D0F4 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066F1201AAD005D00467 |
:0E031200C004C0051206741201AAD005D00462 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120606BE |
:0C03400030010F8A82C004C00512060BB9 |
:06034C00D005D004801072 |
:0E0352008A82C004C0051206241201AAD0053A |
:0E0352008A82C004C0051206291201AAD00535 |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312094E73 |
:0603E6003002031209536E |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206BE1217 |
:0603FA000450120D1E125A |
:0804000008B8D2AF1207C702D1 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E196E0F58212040AD0029030 |
:0D04EC00E196E02AFAF582C00212040A909F |
:0B04F900E1B4E0F58212040AD002908A |
:0A050400E1B4E02AF58212040A9027 |
:0A050E00E1DEE0F58212040A7A0033 |
:0E05180090E196E0FBC3EA64808BF063F08014 |
:0B05260095F05017EA2496F582E434AB |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1B4E0FBC3EA64808BF063F080CB |
:0B05510095F05017EA24B4F582E43462 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1DEE0FBC3EA64808BF063F08076 |
:0B057C0095F05017EA24DEF582E4340D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206C312BA |
:0603FF000455120D23124B |
:0804050008BDD2AF1207CC02C2 |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E196E0F58212040FD0029026 |
:0D04F100E196E02AFAF582C00212040F9095 |
:0B04FE00E1B4E0F58212040FD0029080 |
:0A050900E1B4E02AF58212040F901D |
:0A051300E1DEE0F58212040F7A0029 |
:0E051D0090E196E0FBC3EA64808BF063F0800F |
:0B052B0095F05017EA2496F582E434A6 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1B4E0FBC3EA64808BF063F080C6 |
:0B05560095F05017EA24B4F582E4345D |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1DEE0FBC3EA64808BF063F08071 |
:0B05810095F05017EA24DEF582E43408 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
201,243 → 201,243
:0EE1D0006600690066006F005F00610073006A |
:0EE1DE001203300030003000300030003000FE |
:04E1EC0030003000CF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0B05FB00D2B775B5809001F4020DDA54 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E0F000907F |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347419F00090E63574FC3A |
:0E078D00F00090E61BE4F0000090E69174800E |
:0A079B00F00090E6917480F0002257 |
:0807A50090E6A0E04401F022FF |
:0E07AD00AA8274805AC423541FFB740F5A9002 |
:0C07BB00E6834BF090E683E04420F0223F |
:0E07C70090E680E0440AF09000FA120DDA90FD |
:0E07D500E65D74FFF090E65F74FFF05391EF65 |
:0807E30090E680E054F7F022DB |
:060E0600E478FFF6D8FDC0 |
:060DEB00751200751300F3 |
:0A07EB0090E680E0FA30E71975147B |
:0307F50000751577 |
:0307F800E1751692 |
:0307FB001275175D |
:0307FE00E175188A |
:030801001C75194A |
:03080400E1751A81 |
:0308070066751BF8 |
:02080A00E122E9 |
:04080C0075144A75A0 |
:0308100015E1757A |
:03081300165C75FB |
:0308160017E17572 |
:03081900186675E9 |
:03081C0019E1756A |
:03081F001A1C752B |
:030822001BE122B5 |
:090825005391EF75A100D20232DB |
:0C082E00C021C0E0C0F0C082C083C00246 |
:0E083A00C003C004C005C006C007C000C00156 |
:0E084800C0D075D0005391EF75A1001207EBE0 |
:0E085600D0D0D001D000D007D006D005D004FD |
:0D086400D003D002D083D082D0F0D0E0D0FD |
:02087100213232 |
:0C087300C021C0E0C0F0C082C083C00201 |
:0E087F00C003C004C005C006C007C000C00111 |
:0E088D00C0D075D0005391EF75A1001207EB9B |
:0E089B00D0D0D001D000D007D006D005D004B8 |
:0D08A900D003D002D083D082D0F0D0E0D0B8 |
:0208B6002132ED |
:0508B8001207EB751CA6 |
:0308BD0025751D81 |
:0808C00008758200120C777527 |
:0308C8001C2E756E |
:0608CB001D0875821012E9 |
:0408D1000C77751C0F |
:0308D50073751D1B |
:0C08D80008758214120C7790E65C7431F5 |
:0208E400F02200 |
:0D08E600E582547FFA24F750047582002249 |
:0708F300BA01047582012225 |
:0808FA00530201E4BA000104FD |
:03090200F5822259 |
:0E090500AA82BA010C90E6A1E0FB7C008B8276 |
:030913008C8322B0 |
:0E091600BA810C90E6A2E0FB7C008B828C8301 |
:0109240022B0 |
:0E09250053027FEA700C90E6A0E0FB7C008B92 |
:04093300828C83220D |
:0E09370090E6A3E0FB7C00EAC313FA7D00EA21 |
:090945002BFBED3C8B82F58322B3 |
:0C094E00C20290E6B8E0FA530260BA0062 |
:03095A00028028F0 |
:05095D00BA2002800D2C |
:05096200BA4002800E06 |
:05096700BA60028003EC |
:03096C00020C0C6E |
:06096F001207A5020C0CAA |
:0A097500120372E5826003020C0C0D |
:06097F001207A5020C0C9A |
:0D09850090E6B8E0FA530280BA80028003C9 |
:03099200020B0D48 |
:0B09950090E6B9E0FABA0003020A86FF |
:0509A000BA06028035DB |
:0509A500BA0802800801 |
:0509AA00BA0A028017EB |
:0309AF00020B0731 |
:0C09B20090E740E512F090E68AE4F09037 |
:0809BE00E68B7401F0020C0C41 |
:0C09C60090E740E513F090E68AE4F09022 |
:0809D200E68B7401F0020C0C2D |
:0A09DA0090E6BBE0FABA01028017B4 |
:0509E400BA0202803898 |
:0509E900BA0302805971 |
:0509EE00BA0602801BA7 |
:0509F300BA0702803C80 |
:0309F800020A8070 |
:0A09FB00AA14AB1590E6B3EBF07BF5 |
:090A05000090E6B4EAF0020C0CCA |
:0A0A0E00AA16AB1790E6B3EBF07BDD |
:090A18000090E6B4EAF0020C0CB7 |
:0A0A2100AA18AB1990E6B3EBF07BC6 |
:090A2B000090E6B4EAF0020C0CA4 |
:0A0A3400AA1AAB1B90E6B3EBF07BAF |
:090A3E000090E6B4EAF0020C0C91 |
:0E0A470090E6BAE0FA90E186E0FBC3EA9B403D |
:070A5500061207A5020C0CBC |
:0C0A5C0090E6BAE075F002A42487F58251 |
:0B0A680074E135F0F583E0FAA3E090A4 |
:0D0A7300E6B3F07B0090E6B4EAF0020C0C54 |
:060A80001207A5020C0C98 |
:0D0A860090E6B8E0FA53021FBA0002800AA1 |
:050A9300BA0102801B06 |
:050A9800BA0266802C8B |
:0E0A9D0090E740E4F090E741F090E68AF09098 |
:080AAB00E68B7402F0020C0C52 |
:0E0AB30090E740E4F090E741F090E68AF09082 |
:080AC100E68B7402F0020C0C3C |
:0E0AC90090E6BCE0F5821208E6E5826025901A |
:0E0AD700E6BCE0F582120905E0FA5302019038 |
:0E0AE500E740EAF090E741E4F090E68AF090F6 |
:080AF300E68B7402F0020C0C0A |
:060AFB001207A5020C0C1D |
:060B01001207A5020C0C16 |
:060B07001207A5020C0C10 |
:0C0B0D0090E6B9E0FA24F45003020C0951 |
:070B1900EA2A2A900B207369 |
:070B2000020C09020B560252 |
:060B27000BD1020BB2022B |
:060B2D000C09020C090294 |
:060B33000C09020C09028E |
:060B39000C09020B44024E |
:050B3F000C09020B4D42 |
:090B440090E6BAE0F512020C0C77 |
:090B4D0090E6BAE0F513020C0C6D |
:0D0B560090E6B8E0FA53021FBA00028005D5 |
:050B6300BA0247800A00 |
:0A0B680090E6BAE01207A5020C0C9B |
:0D0B720090E6BAE0703090E6BCE0F582122B |
:0D0B7F0008E6E582602390E6BCE0F58212F6 |
:0E0B8C000905AA82AB83E0FC5304FE8A828B2B |
:0E0B9A0083ECF090E6BCE0F5821207AD8064BB |
:050BA8001207A5805FAB |
:050BAD001207A5805AAB |
:0E0BB20090E6B8E0FA53021FBA004F90E6BA80 |
:070BC000E0FABA0102800512 |
:050BC700BA02028040AB |
:050BCC001207A5803BAB |
:0E0BD10090E6BAE0FA702C90E6BCE0F58212D5 |
:0D0BDF0008E6E582601A90E6BCE0F582129F |
:0E0BEC000905AA82AB83E0FC4304018A828BD8 |
:050BFA0083ECF0800D0A |
:050BFF001207A58008AB |
:050C04001207A58003AA |
:030C09001207A52A |
:080C0C0090E6A0E04480F02214 |
:050C1400AA82BA0300F2 |
:070C19004005EA249C500194 |
:010C200022B1 |
:090C2100740F5AFBBB03028007AB |
:070C2A00740F5AFBBB0B45E0 |
:0A0C3100A2AF9203C2AFEA2400F55F |
:0C0C3B0082E43400F5837402F07B007446 |
:0C0C4700012AFCE43BFDEC2400F582EDEA |
:0A0C53003400F583E51DFCF0740287 |
:0C0C5D002AFAE43BFBEA2400F582EB34A9 |
:0A0C690000F583AA1C7B00EAF0A24C |
:030C73000392AF3A |
:010C7600225B |
:080C7700E582FA2483500122FA |
:060C7F00EA5403600122AB |
:0A0C8500A2AF9204C2AFEA2400F50A |
:0C0C8F0082E43401F5837402F07B0074F1 |
:0C0C9B00012AFCE43BFDEC2400F582ED96 |
:0A0CA7003401F583E51DF074022A04 |
:0B0CB100FAE43BFBEA2400F582EB3480 |
:080CBC0001F583AA1CEAF0A275 |
:040CC4000492AF22C5 |
:050CC800AA82BA8000C1 |
:070CCD004005EA244B500131 |
:010CD40022FD |
:060CD500EA540360012255 |
:0A0CDB00A2AF9205C2AFEA2480F533 |
:0C0CE50082E43400F5837402F07B00749C |
:0C0CF100012AFCE43BFDEC2480F582EDC0 |
:0A0CFD003400F583E51DF074022AAF |
:0B0D0700FAE43BFBEA2480F582EB34A9 |
:080D120000F583AA1CEAF0A21F |
:040D1A000592AF226D |
:080D1E00C2E8C2EA751C007571 |
:060D26001D01758243125D |
:040D2C000C14751C12 |
:030D300080751DAE |
:0C0D330000758253120C140090E650E48E |
:0E0D3F00F00090E652E4F00090E654E4F0007C |
:0E0D4D0090E656E4F00090E65174FFF000903E |
:0E0D5B00E65374FFF00090E65574FFF0009030 |
:0E0D6900E65774FFF00090E658E4F090E6596B |
:0E0D770074FFF090E65AE4F090E65B74FFF033 |
:0E0D850090E65CE4F090E65D74FFF090E65EB0 |
:0E0D9300E4F090E65F74FFF00090E660E4F09C |
:0D0DA1000090E66174FFF090E662E4F090CF |
:0E0DAE00E66374FFF090E665E4F090E668748A |
:0A0DBC000BF05391AFD2E8D2EA2207 |
:010DC600220A |
:020DC700AA82FE |
:060DC900120DC6DAFB2248 |
:030DCF0090FB5046 |
:080DD200A3E582458370F922BC |
:040DDA00AA82AB83BB |
:080DDE00120DCF1ABAFF011B30 |
:050DE600EA4B70F4224D |
:030DF900758121E0 |
:0A0DFC001205D5E5826003020DF434 |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:0B060000D2B775B5809001F4020DDF49 |
:0E060B00AA82ABB574FE5BF5F0741C45F0F5E9 |
:0E061900B5EA1392B4EAA2E192B3EAA2E49227 |
:02062700B222FD |
:0E06290012060BA2B0E433FA74024AF58222E4 |
:0E063700E5821392B2D2B413C2B492B2D2B41E |
:0E06450013C2B492B2D2B413C2B492B2D2B401 |
:0E06530013C2B492B2D2B413C2B492B2D2B4F3 |
:0E06610013C2B492B2D2B413C2B492B2D2B4E5 |
:05066F0000C2B42222CC |
:0E067400E582A2B01392B2D2B4C2B4A2B01307 |
:0E06820092B2D2B4C2B4A2B01392B2D2B4C239 |
:0E069000B4A2B01392B2D2B4C2B4A2B013920C |
:0E069E00B2D2B4C2B4A2B01392B2D2B4C2B4FB |
:0E06AC00A2B01392B2D2B4C2B4A2B01392B2F2 |
:0906BA00D2B400C2B4F582222280 |
:0D06C30090E6007412F090E60174ABF09028 |
:0E06D000E6707480F00090E60274E0F0009096 |
:0E06DE00E60374F9F00090E6047480F00090DA |
:0D06EC00E60B7403F00090E610E4F00090BF |
:0D06F900E61174A0F00090E618E4F0009007 |
:0E070600E6047402F00090E61274A2F0009077 |
:0E071400E61374A2F00090E61474E0F000907A |
:0E072200E6157402F00090E6047404F00090F6 |
:0E073000E6047406F00090E6047408F00090F1 |
:0E073E00E604E4F00090E60BE4F00090E649DB |
:0E074C007484F00090E6497484F00090E61981 |
:0E075A00E4F00090E6197410F00090E632749E |
:0E07680080F00090E6337404F00090E61A74FE |
:0E0776000CF00090E6247402F00090E625E4FA |
:0E078400F00090E6347419F00090E63574FC35 |
:0E079200F00090E61BE4F0000090E691748009 |
:0A07A000F00090E6917480F0002252 |
:0807AA0090E6A0E04401F022FA |
:0E07B200AA8274805AC423541FFB740F5A90FD |
:0C07C000E6834BF090E683E04420F0223A |
:0E07CC0090E680E0440AF09000FA120DDF90F3 |
:0E07DA00E65D74FFF090E65F74FFF05391EF60 |
:0807E80090E680E054F7F022D6 |
:060E0B00E478FFF6D8FDBB |
:060DF000751200751300EE |
:0A07F00090E680E0FA30E719751476 |
:0307FA0000751572 |
:0307FD00E175168D |
:0308000012751757 |
:03080300E1751884 |
:030806001C751945 |
:03080900E1751A7C |
:03080C0066751BF3 |
:02080F00E122E4 |
:0408110075144A759B |
:0308150015E17575 |
:03081800165C75F6 |
:03081B0017E1756D |
:03081E00186675E4 |
:0308210019E17565 |
:030824001A1C7526 |
:030827001BE122B0 |
:09082A005391EF75A100D20232D6 |
:0C083300C021C0E0C0F0C082C083C00241 |
:0E083F00C003C004C005C006C007C000C00151 |
:0E084D00C0D075D0005391EF75A1001207F0D6 |
:0E085B00D0D0D001D000D007D006D005D004F8 |
:0D086900D003D002D083D082D0F0D0E0D0F8 |
:0208760021322D |
:0C087800C021C0E0C0F0C082C083C002FC |
:0E088400C003C004C005C006C007C000C0010C |
:0E089200C0D075D0005391EF75A1001207F091 |
:0E08A000D0D0D001D000D007D006D005D004B3 |
:0D08AE00D003D002D083D082D0F0D0E0D0B3 |
:0208BB002132E8 |
:0508BD001207F0751C9C |
:0308C2002A751D77 |
:0808C50008758200120C7C751D |
:0308CD001C337564 |
:0608D0001D0875821012E4 |
:0408D6000C7C751C05 |
:0308DA0078751D11 |
:0C08DD0008758214120C7C90E65C7431EB |
:0208E900F022FB |
:0D08EB00E582547FFA24F750047582002244 |
:0708F800BA01047582012220 |
:0808FF00530201E4BA000104F8 |
:03090700F5822254 |
:0E090A00AA82BA010C90E6A1E0FB7C008B8271 |
:030918008C8322AB |
:0E091B00BA810C90E6A2E0FB7C008B828C83FC |
:0109290022AB |
:0E092A0053027FEA700C90E6A0E0FB7C008B8D |
:04093800828C832208 |
:0E093C0090E6A3E0FB7C00EAC313FA7D00EA1C |
:09094A002BFBED3C8B82F58322AE |
:0C095300C20290E6B8E0FA530260BA005D |
:03095F00028028EB |
:05096200BA2002800D27 |
:05096700BA4002800E01 |
:05096C00BA60028003E7 |
:03097100020C1164 |
:060974001207AA020C119B |
:0A097A00120372E5826003020C1103 |
:060984001207AA020C118B |
:0D098A0090E6B8E0FA530280BA80028003C4 |
:03099700020B123E |
:0B099A0090E6B9E0FABA0003020A8BF5 |
:0509A500BA06028035D6 |
:0509AA00BA08028008FC |
:0509AF00BA0A028017E6 |
:0309B400020B0C27 |
:0C09B70090E740E512F090E68AE4F09032 |
:0809C300E68B7401F0020C1137 |
:0C09CB0090E740E513F090E68AE4F0901D |
:0809D700E68B7401F0020C1123 |
:0A09DF0090E6BBE0FABA01028017AF |
:0509E900BA0202803893 |
:0509EE00BA030280596C |
:0509F300BA0602801BA2 |
:0509F800BA0702803C7B |
:0309FD00020A8566 |
:0A0A0000AA14AB1590E6B3EBF07BEF |
:090A0A000090E6B4EAF0020C11C0 |
:0A0A1300AA16AB1790E6B3EBF07BD8 |
:090A1D000090E6B4EAF0020C11AD |
:0A0A2600AA18AB1990E6B3EBF07BC1 |
:090A30000090E6B4EAF0020C119A |
:0A0A3900AA1AAB1B90E6B3EBF07BAA |
:090A43000090E6B4EAF0020C1187 |
:0E0A4C0090E6BAE0FA90E186E0FBC3EA9B4038 |
:070A5A00061207AA020C11AD |
:0C0A610090E6BAE075F002A42487F5824C |
:0B0A6D0074E135F0F583E0FAA3E0909F |
:0D0A7800E6B3F07B0090E6B4EAF0020C114A |
:060A85001207AA020C1189 |
:0D0A8B0090E6B8E0FA53021FBA0002800A9C |
:050A9800BA0102801B01 |
:050A9D00BA0266802C86 |
:0E0AA20090E740E4F090E741F090E68AF09093 |
:080AB000E68B7402F0020C1148 |
:0E0AB80090E740E4F090E741F090E68AF0907D |
:080AC600E68B7402F0020C1132 |
:0E0ACE0090E6BCE0F5821208EBE58260259010 |
:0E0ADC00E6BCE0F58212090AE0FA530201902E |
:0E0AEA00E740EAF090E741E4F090E68AF090F1 |
:080AF800E68B7402F0020C1100 |
:060B00001207AA020C110D |
:060B06001207AA020C1107 |
:060B0C001207AA020C1101 |
:0C0B120090E6B9E0FA24F45003020C0E47 |
:070B1E00EA2A2A900B25735F |
:070B2500020C0E020B5B0243 |
:060B2C000BD6020BB7021C |
:060B32000C0E020C0E0285 |
:060B38000C0E020C0E027F |
:060B3E000C0E020B49023F |
:050B44000C0E020B5233 |
:090B490090E6BAE0F512020C116D |
:090B520090E6BAE0F513020C1163 |
:0D0B5B0090E6B8E0FA53021FBA00028005D0 |
:050B6800BA0247800AFB |
:0A0B6D0090E6BAE01207AA020C118C |
:0D0B770090E6BAE0703090E6BCE0F5821226 |
:0D0B840008EBE582602390E6BCE0F58212EC |
:0E0B9100090AAA82AB83E0FC5304FE8A828B21 |
:0E0B9F0083ECF090E6BCE0F5821207B28064B1 |
:050BAD001207AA805FA1 |
:050BB2001207AA805AA1 |
:0E0BB70090E6B8E0FA53021FBA004F90E6BA7B |
:070BC500E0FABA010280050D |
:050BCC00BA02028040A6 |
:050BD1001207AA803BA1 |
:0E0BD60090E6BAE0FA702C90E6BCE0F58212D0 |
:0D0BE40008EBE582601A90E6BCE0F5821295 |
:0E0BF100090AAA82AB83E0FC4304018A828BCE |
:050BFF0083ECF0800D05 |
:050C04001207AA8008A0 |
:050C09001207AA8003A0 |
:030C0E001207AA20 |
:080C110090E6A0E04480F0220F |
:050C1900AA82BA0300ED |
:070C1E004005EA249C50018F |
:010C250022AC |
:090C2600740F5AFBBB03028007A6 |
:070C2F00740F5AFBBB0B45DB |
:0A0C3600A2AF9203C2AFEA2400F55A |
:0C0C400082E43400F5837402F07B007441 |
:0C0C4C00012AFCE43BFDEC2400F582EDE5 |
:0A0C58003400F583E51DFCF0740282 |
:0C0C62002AFAE43BFBEA2400F582EB34A4 |
:0A0C6E0000F583AA1C7B00EAF0A247 |
:030C78000392AF35 |
:010C7B002256 |
:080C7C00E582FA2483500122F5 |
:060C8400EA5403600122A6 |
:0A0C8A00A2AF9204C2AFEA2400F505 |
:0C0C940082E43401F5837402F07B0074EC |
:0C0CA000012AFCE43BFDEC2400F582ED91 |
:0A0CAC003401F583E51DF074022AFF |
:0B0CB600FAE43BFBEA2400F582EB347B |
:080CC10001F583AA1CEAF0A270 |
:040CC9000492AF22C0 |
:050CCD00AA82BA8000BC |
:070CD2004005EA244B50012C |
:010CD90022F8 |
:060CDA00EA540360012250 |
:0A0CE000A2AF9205C2AFEA2480F52E |
:0C0CEA0082E43400F5837402F07B007497 |
:0C0CF600012AFCE43BFDEC2480F582EDBB |
:0A0D02003400F583E51DF074022AA9 |
:0B0D0C00FAE43BFBEA2480F582EB34A4 |
:080D170000F583AA1CEAF0A21A |
:040D1F000592AF2268 |
:080D2300C2E8C2EA751C00756C |
:060D2B001D017582431258 |
:040D31000C19751C08 |
:030D350080751DA9 |
:0C0D380000758253120C190090E650E484 |
:0E0D4400F00090E652E4F00090E654E4F00077 |
:0E0D520090E656E4F00090E65174FFF0009039 |
:0E0D6000E65374FFF00090E65574FFF000902B |
:0E0D6E00E65774FFF00090E658E4F090E65966 |
:0E0D7C0074FFF090E65AE4F090E65B74FFF02E |
:0E0D8A0090E65CE4F090E65D74FFF090E65EAB |
:0E0D9800E4F090E65F74FFF00090E660E4F097 |
:0D0DA6000090E66174FFF090E662E4F090CA |
:0E0DB300E66374FFF090E665E4F090E6687485 |
:0A0DC1000BF05391AFD2E8D2EA2202 |
:010DCB002205 |
:020DCC00AA82F9 |
:060DCE00120DCBDAFB223E |
:030DD40090FB5041 |
:080DD700A3E582458370F922B7 |
:040DDF00AA82AB83B6 |
:080DE300120DD41ABAFF011B26 |
:050DEB00EA4B70F42248 |
:030DFE00758121DB |
:0A0E01001205DAE5826003020DF924 |
:00000001FF |
/tools/fx2/bin/nexys2_jtag_3fifo_as.ihx
1,4 → 1,4
:06000000020E0702006B76 |
:06000000020E0C02006B71 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030E0D00020E15BD |
:030E2800020E10A7 |
:050E10001203F180FE59 |
:030E1200020E1AB3 |
:030E2D00020E159D |
:050E15001203F180FE54 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C001120632D001D000D005D0F9 |
:0E02E600C000C001120637D001D000D005D0F4 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066F1201AAD005D00467 |
:0E031200C004C0051206741201AAD005D00462 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120606BE |
:0C03400030010F8A82C004C00512060BB9 |
:06034C00D005D004801072 |
:0E0352008A82C004C0051206241201AAD0053A |
:0E0352008A82C004C0051206291201AAD00535 |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312096A57 |
:0603E60030020312096F52 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206BE1217 |
:0603FA000450120D3A123E |
:0804000008D4D2AF1207E30299 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E19EE0F58212040AD0029028 |
:0D04EC00E19EE02AFAF582C00212040A9097 |
:0B04F900E1BCE0F58212040AD0029082 |
:0A050400E1BCE02AF58212040A901F |
:0A050E00E1E6E0F58212040A7A002B |
:0E05180090E19EE0FBC3EA64808BF063F0800C |
:0B05260095F05017EA249EF582E434A3 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1BCE0FBC3EA64808BF063F080C3 |
:0B05510095F05017EA24BCF582E4345A |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1E6E0FBC3EA64808BF063F0806E |
:0B057C0095F05017EA24E6F582E43405 |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206C312BA |
:0603FF000455120D3F122F |
:0804050008D9D2AF1207E8028A |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E19EE0F58212040FD002901E |
:0D04F100E19EE02AFAF582C00212040F908D |
:0B04FE00E1BCE0F58212040FD0029078 |
:0A050900E1BCE02AF58212040F9015 |
:0A051300E1E6E0F58212040F7A0021 |
:0E051D0090E19EE0FBC3EA64808BF063F08007 |
:0B052B0095F05017EA249EF582E4349E |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1BCE0FBC3EA64808BF063F080BE |
:0B05560095F05017EA24BCF582E43455 |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1E6E0FBC3EA64808BF063F08069 |
:0B05810095F05017EA24E6F582E43400 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
202,245 → 202,245
:0EE1D8006600690066006F005F006100730062 |
:0EE1E6001203300030003000300030003000F6 |
:04E1F40030003000C7 |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0B05FB00D2B775B5809001F4020DF638 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E2F000907D |
:0E071D00E61574E2F00090E6047404F000901B |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347409F00090E63574FC4A |
:0E078D00F00090E61B740CF00090E62674025B |
:0E079B00F00090E627E4F00090E6367441F09E |
:0E07A9000090E63774FCF0000090E69174803A |
:0A07B700F00090E6917480F000223B |
:0807C10090E6A0E04401F022E3 |
:0E07C900AA8274805AC423541FFB740F5A90E6 |
:0C07D700E6834BF090E683E04420F02223 |
:0E07E30090E680E0440AF09000FA120DF690C5 |
:0E07F100E65D74FFF090E65F74FFF05391EF49 |
:0807FF0090E680E054F7F022BF |
:060E2200E478FFF6D8FDA4 |
:060E0700751200751300D6 |
:0A08070090E680E0FA30E71975145E |
:030811000075155A |
:03081400E1751675 |
:0308170012751740 |
:03081A00E175186D |
:03081D001C75192E |
:03082000E1751A65 |
:030823006E751BD4 |
:02082600E122CD |
:04082800751452757C |
:03082C0015E1755E |
:03082F00166475D7 |
:0308320017E17556 |
:03083500186E75C5 |
:0308380019E1754E |
:03083B001A1C750F |
:03083E001BE12299 |
:090841005391EF75A100D20232BF |
:0C084A00C021C0E0C0F0C082C083C0022A |
:0E085600C003C004C005C006C007C000C0013A |
:0E086400C0D075D0005391EF75A100120807A7 |
:0E087200D0D0D001D000D007D006D005D004E1 |
:0D088000D003D002D083D082D0F0D0E0D0E1 |
:02088D00213216 |
:0C088F00C021C0E0C0F0C082C083C002E5 |
:0E089B00C003C004C005C006C007C000C001F5 |
:0E08A900C0D075D0005391EF75A10012080762 |
:0E08B700D0D0D001D000D007D006D005D0049C |
:0D08C500D003D002D083D082D0F0D0E0D09C |
:0208D2002132D1 |
:0508D400120807751C6D |
:0308D90041751D49 |
:0808DC0008758200120C9375EF |
:0308E4001C4A7536 |
:0608E7001D0875821012CD |
:0408ED000C93751CD7 |
:0308F1008F751DE3 |
:0C08F40008758214120C9390E65C7431BD |
:02090000F022E3 |
:0D090200E582547FFA24F75004758200222C |
:07090F00BA01047582012208 |
:08091600530201E4BA000104E0 |
:03091E00F582223D |
:0E092100AA82BA010C90E6A1E0FB7C008B825A |
:03092F008C832294 |
:0E093200BA810C90E6A2E0FB7C008B828C83E5 |
:010940002294 |
:0E09410053027FEA700C90E6A0E0FB7C008B76 |
:04094F00828C8322F1 |
:0E09530090E6A3E0FB7C00EAC313FA7D00EA05 |
:090961002BFBED3C8B82F5832297 |
:0C096A00C20290E6B8E0FA530260BA0046 |
:03097600028028D4 |
:05097900BA2002800D10 |
:05097E00BA4002800EEA |
:05098300BA60028003D0 |
:03098800020C2836 |
:06098B001207C1020C2856 |
:0A099100120372E5826003020C28D5 |
:06099B001207C1020C2846 |
:0D09A10090E6B8E0FA530280BA80028003AD |
:0309AE00020B2910 |
:0B09B10090E6B9E0FABA0003020AA2C7 |
:0509BC00BA06028035BF |
:0509C100BA08028008E5 |
:0509C600BA0A028017CF |
:0309CB00020B23F9 |
:0C09CE0090E740E512F090E68AE4F0901B |
:0809DA00E68B7401F0020C2809 |
:0C09E20090E740E513F090E68AE4F09006 |
:0809EE00E68B7401F0020C28F5 |
:0A09F60090E6BBE0FABA0102801798 |
:050A0000BA020280387B |
:050A0500BA0302805954 |
:050A0A00BA0602801B8A |
:050A0F00BA0702803C63 |
:030A1400020A9C37 |
:0A0A1700AA14AB1590E6B3EBF07BD8 |
:090A21000090E6B4EAF0020C2892 |
:0A0A2A00AA16AB1790E6B3EBF07BC1 |
:090A34000090E6B4EAF0020C287F |
:0A0A3D00AA18AB1990E6B3EBF07BAA |
:090A47000090E6B4EAF0020C286C |
:0A0A5000AA1AAB1B90E6B3EBF07B93 |
:090A5A000090E6B4EAF0020C2859 |
:0E0A630090E6BAE0FA90E18EE0FBC3EA9B4019 |
:070A7100061207C1020C2868 |
:0C0A780090E6BAE075F002A4248FF5822D |
:0B0A840074E135F0F583E0FAA3E09088 |
:0D0A8F00E6B3F07B0090E6B4EAF0020C281C |
:060A9C001207C1020C2844 |
:0D0AA20090E6B8E0FA53021FBA0002800A85 |
:050AAF00BA0102801BEA |
:050AB400BA0266802C6F |
:0E0AB90090E740E4F090E741F090E68AF0907C |
:080AC700E68B7402F0020C281A |
:0E0ACF0090E740E4F090E741F090E68AF09066 |
:080ADD00E68B7402F0020C2804 |
:0E0AE50090E6BCE0F582120902E582602590E1 |
:0E0AF300E6BCE0F582120921E0FA5302019000 |
:0E0B0100E740EAF090E741E4F090E68AF090D9 |
:080B0F00E68B7402F0020C28D1 |
:060B17001207C1020C28C8 |
:060B1D001207C1020C28C2 |
:060B23001207C1020C28BC |
:0C0B290090E6B9E0FA24F45003020C2519 |
:070B3500EA2A2A900B3C7331 |
:070B3C00020C25020B7202FE |
:060B43000BED020BCE02D7 |
:060B49000C25020C250240 |
:060B4F000C25020C25023A |
:060B55000C25020B6002FA |
:050B5B000C25020B69EE |
:090B600090E6BAE0F512020C283F |
:090B690090E6BAE0F513020C2835 |
:0D0B720090E6B8E0FA53021FBA00028005B9 |
:050B7F00BA0247800AE4 |
:0A0B840090E6BAE01207C1020C2847 |
:0D0B8E0090E6BAE0703090E6BCE0F582120F |
:0D0B9B000902E582602390E6BCE0F58212BD |
:0E0BA8000921AA82AB83E0FC5304FE8A828BF3 |
:0E0BB60083ECF090E6BCE0F5821207C9806483 |
:050BC4001207C1805F73 |
:050BC9001207C1805A73 |
:0E0BCE0090E6B8E0FA53021FBA004F90E6BA64 |
:070BDC00E0FABA01028005F6 |
:050BE300BA020280408F |
:050BE8001207C1803B73 |
:0E0BED0090E6BAE0FA702C90E6BCE0F58212B9 |
:0D0BFB000902E582601A90E6BCE0F5821266 |
:0E0C08000921AA82AB83E0FC4304018A828B9F |
:050C160083ECF0800DED |
:050C1B001207C1800872 |
:050C20001207C1800372 |
:030C25001207C1F2 |
:080C280090E6A0E04480F022F8 |
:050C3000AA82BA0300D6 |
:070C35004005EA249C500178 |
:010C3C002295 |
:090C3D00740F5AFBBB030280078F |
:070C4600740F5AFBBB0B45C4 |
:0A0C4D00A2AF9203C2AFEA2400F543 |
:0C0C570082E43400F5837402F07B00742A |
:0C0C6300012AFCE43BFDEC2400F582EDCE |
:0A0C6F003400F583E51DFCF074026B |
:0C0C79002AFAE43BFBEA2400F582EB348D |
:0A0C850000F583AA1C7B00EAF0A230 |
:030C8F000392AF1E |
:010C9200223F |
:080C9300E582FA2483500122DE |
:060C9B00EA54036001228F |
:0A0CA100A2AF9204C2AFEA2400F5EE |
:0C0CAB0082E43401F5837402F07B0074D5 |
:0C0CB700012AFCE43BFDEC2400F582ED7A |
:0A0CC3003401F583E51DF074022AE8 |
:0B0CCD00FAE43BFBEA2400F582EB3464 |
:080CD80001F583AA1CEAF0A259 |
:040CE0000492AF22A9 |
:050CE400AA82BA8000A5 |
:070CE9004005EA244B500115 |
:010CF00022E1 |
:060CF100EA540360012239 |
:0A0CF700A2AF9205C2AFEA2480F517 |
:0C0D010082E43400F5837402F07B00747F |
:0C0D0D00012AFCE43BFDEC2480F582EDA3 |
:0A0D19003400F583E51DF074022A92 |
:0B0D2300FAE43BFBEA2480F582EB348D |
:080D2E0000F583AA1CEAF0A203 |
:040D36000592AF2251 |
:080D3A00C2E8C2EA751C007555 |
:060D42001D017582431241 |
:040D48000C30751CDA |
:030D4C0080751D92 |
:0C0D4F0000758253120C300090E650E456 |
:0E0D5B00F00090E652E4F00090E654E4F00060 |
:0E0D690090E656E4F00090E65174FFF0009022 |
:0E0D7700E65374FFF00090E65574FFF0009014 |
:0E0D8500E65774FFF00090E658E4F090E6594F |
:0E0D930074FFF090E65AE4F090E65B74FFF017 |
:0E0DA10090E65CE4F090E65D74FFF090E65E94 |
:0E0DAF00E4F090E65F74FFF00090E660E4F080 |
:0D0DBD000090E66174FFF090E662E4F090B3 |
:0E0DCA00E66374FFF090E665E4F090E668746E |
:0A0DD8000BF05391AFD2E8D2EA22EB |
:010DE20022EE |
:020DE300AA82E2 |
:060DE500120DE2DAFB2210 |
:030DEB0090FB502A |
:080DEE00A3E582458370F922A0 |
:040DF600AA82AB839F |
:080DFA00120DEB1ABAFF011BF8 |
:050E0200EA4B70F42230 |
:030E1500758121C3 |
:0A0E18001205D5E5826003020E10FA |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:0B060000D2B775B5809001F4020DFB2D |
:0E060B00AA82ABB574FE5BF5F0741C45F0F5E9 |
:0E061900B5EA1392B4EAA2E192B3EAA2E49227 |
:02062700B222FD |
:0E06290012060BA2B0E433FA74024AF58222E4 |
:0E063700E5821392B2D2B413C2B492B2D2B41E |
:0E06450013C2B492B2D2B413C2B492B2D2B401 |
:0E06530013C2B492B2D2B413C2B492B2D2B4F3 |
:0E06610013C2B492B2D2B413C2B492B2D2B4E5 |
:05066F0000C2B42222CC |
:0E067400E582A2B01392B2D2B4C2B4A2B01307 |
:0E06820092B2D2B4C2B4A2B01392B2D2B4C239 |
:0E069000B4A2B01392B2D2B4C2B4A2B013920C |
:0E069E00B2D2B4C2B4A2B01392B2D2B4C2B4FB |
:0E06AC00A2B01392B2D2B4C2B4A2B01392B2F2 |
:0906BA00D2B400C2B4F582222280 |
:0D06C30090E6007412F090E60174ABF09028 |
:0E06D000E6707480F00090E60274E0F0009096 |
:0E06DE00E60374F9F00090E6047480F00090DA |
:0D06EC00E60B7403F00090E610E4F00090BF |
:0D06F900E61174A0F00090E618E4F0009007 |
:0E070600E6047402F00090E61274A2F0009077 |
:0E071400E61374A2F00090E61474E2F0009078 |
:0E072200E61574E2F00090E6047404F0009016 |
:0E073000E6047406F00090E6047408F00090F1 |
:0E073E00E604E4F00090E60BE4F00090E649DB |
:0E074C007484F00090E6497484F00090E61981 |
:0E075A00E4F00090E6197410F00090E632749E |
:0E07680080F00090E6337404F00090E61A74FE |
:0E0776000CF00090E6247402F00090E625E4FA |
:0E078400F00090E6347409F00090E63574FC45 |
:0E079200F00090E61B740CF00090E626740256 |
:0E07A000F00090E627E4F00090E6367441F099 |
:0E07AE000090E63774FCF0000090E691748035 |
:0A07BC00F00090E6917480F0002236 |
:0807C60090E6A0E04401F022DE |
:0E07CE00AA8274805AC423541FFB740F5A90E1 |
:0C07DC00E6834BF090E683E04420F0221E |
:0E07E80090E680E0440AF09000FA120DFB90BB |
:0E07F600E65D74FFF090E65F74FFF05391EF44 |
:0808040090E680E054F7F022B9 |
:060E2700E478FFF6D8FD9F |
:060E0C00751200751300D1 |
:0A080C0090E680E0FA30E719751459 |
:0308160000751555 |
:03081900E1751670 |
:03081C001275173B |
:03081F00E1751868 |
:030822001C751929 |
:03082500E1751A60 |
:030828006E751BCF |
:02082B00E122C8 |
:04082D007514527577 |
:0308310015E17559 |
:03083400166475D2 |
:0308370017E17551 |
:03083A00186E75C0 |
:03083D0019E17549 |
:030840001A1C750A |
:030843001BE12294 |
:090846005391EF75A100D20232BA |
:0C084F00C021C0E0C0F0C082C083C00225 |
:0E085B00C003C004C005C006C007C000C00135 |
:0E086900C0D075D0005391EF75A10012080C9D |
:0E087700D0D0D001D000D007D006D005D004DC |
:0D088500D003D002D083D082D0F0D0E0D0DC |
:02089200213211 |
:0C089400C021C0E0C0F0C082C083C002E0 |
:0E08A000C003C004C005C006C007C000C001F0 |
:0E08AE00C0D075D0005391EF75A10012080C58 |
:0E08BC00D0D0D001D000D007D006D005D00497 |
:0D08CA00D003D002D083D082D0F0D0E0D097 |
:0208D7002132CC |
:0508D90012080C751C63 |
:0308DE0046751D3F |
:0808E10008758200120C9875E5 |
:0308E9001C4F752C |
:0608EC001D0875821012C8 |
:0408F2000C98751CCD |
:0308F60094751DD9 |
:0C08F90008758214120C9890E65C7431B3 |
:02090500F022DE |
:0D090700E582547FFA24F750047582002227 |
:07091400BA01047582012203 |
:08091B00530201E4BA000104DB |
:03092300F5822238 |
:0E092600AA82BA010C90E6A1E0FB7C008B8255 |
:030934008C83228F |
:0E093700BA810C90E6A2E0FB7C008B828C83E0 |
:01094500228F |
:0E09460053027FEA700C90E6A0E0FB7C008B71 |
:04095400828C8322EC |
:0E09580090E6A3E0FB7C00EAC313FA7D00EA00 |
:090966002BFBED3C8B82F5832292 |
:0C096F00C20290E6B8E0FA530260BA0041 |
:03097B00028028CF |
:05097E00BA2002800D0B |
:05098300BA4002800EE5 |
:05098800BA60028003CB |
:03098D00020C2D2C |
:060990001207C6020C2D47 |
:0A099600120372E5826003020C2DCB |
:0609A0001207C6020C2D37 |
:0D09A60090E6B8E0FA530280BA80028003A8 |
:0309B300020B2E06 |
:0B09B60090E6B9E0FABA0003020AA7BD |
:0509C100BA06028035BA |
:0509C600BA08028008E0 |
:0509CB00BA0A028017CA |
:0309D000020B28EF |
:0C09D30090E740E512F090E68AE4F09016 |
:0809DF00E68B7401F0020C2DFF |
:0C09E70090E740E513F090E68AE4F09001 |
:0809F300E68B7401F0020C2DEB |
:0A09FB0090E6BBE0FABA0102801793 |
:050A0500BA0202803876 |
:050A0A00BA030280594F |
:050A0F00BA0602801B85 |
:050A1400BA0702803C5E |
:030A1900020AA12D |
:0A0A1C00AA14AB1590E6B3EBF07BD3 |
:090A26000090E6B4EAF0020C2D88 |
:0A0A2F00AA16AB1790E6B3EBF07BBC |
:090A39000090E6B4EAF0020C2D75 |
:0A0A4200AA18AB1990E6B3EBF07BA5 |
:090A4C000090E6B4EAF0020C2D62 |
:0A0A5500AA1AAB1B90E6B3EBF07B8E |
:090A5F000090E6B4EAF0020C2D4F |
:0E0A680090E6BAE0FA90E18EE0FBC3EA9B4014 |
:070A7600061207C6020C2D59 |
:0C0A7D0090E6BAE075F002A4248FF58228 |
:0B0A890074E135F0F583E0FAA3E09083 |
:0D0A9400E6B3F07B0090E6B4EAF0020C2D12 |
:060AA1001207C6020C2D35 |
:0D0AA70090E6B8E0FA53021FBA0002800A80 |
:050AB400BA0102801BE5 |
:050AB900BA0266802C6A |
:0E0ABE0090E740E4F090E741F090E68AF09077 |
:080ACC00E68B7402F0020C2D10 |
:0E0AD40090E740E4F090E741F090E68AF09061 |
:080AE200E68B7402F0020C2DFA |
:0E0AEA0090E6BCE0F582120907E582602590D7 |
:0E0AF800E6BCE0F582120926E0FA53020190F6 |
:0E0B0600E740EAF090E741E4F090E68AF090D4 |
:080B1400E68B7402F0020C2DC7 |
:060B1C001207C6020C2DB9 |
:060B22001207C6020C2DB3 |
:060B28001207C6020C2DAD |
:0C0B2E0090E6B9E0FA24F45003020C2A0F |
:070B3A00EA2A2A900B417327 |
:070B4100020C2A020B7702EF |
:060B48000BF2020BD302C8 |
:060B4E000C2A020C2A0231 |
:060B54000C2A020C2A022B |
:060B5A000C2A020B6502EB |
:050B60000C2A020B6EDF |
:090B650090E6BAE0F512020C2D35 |
:090B6E0090E6BAE0F513020C2D2B |
:0D0B770090E6B8E0FA53021FBA00028005B4 |
:050B8400BA0247800ADF |
:0A0B890090E6BAE01207C6020C2D38 |
:0D0B930090E6BAE0703090E6BCE0F582120A |
:0D0BA0000907E582602390E6BCE0F58212B3 |
:0E0BAD000926AA82AB83E0FC5304FE8A828BE9 |
:0E0BBB0083ECF090E6BCE0F5821207CE806479 |
:050BC9001207C6805F69 |
:050BCE001207C6805A69 |
:0E0BD30090E6B8E0FA53021FBA004F90E6BA5F |
:070BE100E0FABA01028005F1 |
:050BE800BA020280408A |
:050BED001207C6803B69 |
:0E0BF20090E6BAE0FA702C90E6BCE0F58212B4 |
:0D0C00000907E582601A90E6BCE0F582125B |
:0E0C0D000926AA82AB83E0FC4304018A828B95 |
:050C1B0083ECF0800DE8 |
:050C20001207C6800868 |
:050C25001207C6800368 |
:030C2A001207C6E8 |
:080C2D0090E6A0E04480F022F3 |
:050C3500AA82BA0300D1 |
:070C3A004005EA249C500173 |
:010C41002290 |
:090C4200740F5AFBBB030280078A |
:070C4B00740F5AFBBB0B45BF |
:0A0C5200A2AF9203C2AFEA2400F53E |
:0C0C5C0082E43400F5837402F07B007425 |
:0C0C6800012AFCE43BFDEC2400F582EDC9 |
:0A0C74003400F583E51DFCF0740266 |
:0C0C7E002AFAE43BFBEA2400F582EB3488 |
:0A0C8A0000F583AA1C7B00EAF0A22B |
:030C94000392AF19 |
:010C9700223A |
:080C9800E582FA2483500122D9 |
:060CA000EA54036001228A |
:0A0CA600A2AF9204C2AFEA2400F5E9 |
:0C0CB00082E43401F5837402F07B0074D0 |
:0C0CBC00012AFCE43BFDEC2400F582ED75 |
:0A0CC8003401F583E51DF074022AE3 |
:0B0CD200FAE43BFBEA2400F582EB345F |
:080CDD0001F583AA1CEAF0A254 |
:040CE5000492AF22A4 |
:050CE900AA82BA8000A0 |
:070CEE004005EA244B500110 |
:010CF50022DC |
:060CF600EA540360012234 |
:0A0CFC00A2AF9205C2AFEA2480F512 |
:0C0D060082E43400F5837402F07B00747A |
:0C0D1200012AFCE43BFDEC2480F582ED9E |
:0A0D1E003400F583E51DF074022A8D |
:0B0D2800FAE43BFBEA2480F582EB3488 |
:080D330000F583AA1CEAF0A2FE |
:040D3B000592AF224C |
:080D3F00C2E8C2EA751C007550 |
:060D47001D01758243123C |
:040D4D000C35751CD0 |
:030D510080751D8D |
:0C0D540000758253120C350090E650E44C |
:0E0D6000F00090E652E4F00090E654E4F0005B |
:0E0D6E0090E656E4F00090E65174FFF000901D |
:0E0D7C00E65374FFF00090E65574FFF000900F |
:0E0D8A00E65774FFF00090E658E4F090E6594A |
:0E0D980074FFF090E65AE4F090E65B74FFF012 |
:0E0DA60090E65CE4F090E65D74FFF090E65E8F |
:0E0DB400E4F090E65F74FFF00090E660E4F07B |
:0D0DC2000090E66174FFF090E662E4F090AE |
:0E0DCF00E66374FFF090E665E4F090E6687469 |
:0A0DDD000BF05391AFD2E8D2EA22E6 |
:010DE70022E9 |
:020DE800AA82DD |
:060DEA00120DE7DAFB2206 |
:030DF00090FB5025 |
:080DF300A3E582458370F9229B |
:040DFB00AA82AB839A |
:080DFF00120DF01ABAFF011BEE |
:050E0700EA4B70F4222B |
:030E1A00758121BE |
:0A0E1D001205DAE5826003020E15EB |
:00000001FF |
/tools/fx2/bin/nexys3_jtag_2fifo_as.ihx
1,4 → 1,4
:06000000020DE602006B98 |
:06000000020DEB02006B93 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030DEC00020DF401 |
:030E0700020DEFEA |
:050DEF001203F180FE7B |
:030DF100020DF9F7 |
:030E0C00020DF4E0 |
:050DF4001203F180FE76 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C00112062DD001D000D005D0FE |
:0E02E600C000C001120632D001D000D005D0F9 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066A1201AAD005D0046C |
:0E031200C004C00512066F1201AAD005D00467 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120601C3 |
:0C03400030010F8A82C004C005120606BE |
:06034C00D005D004801072 |
:0E0352008A82C004C00512061F1201AAD0053F |
:0E0352008A82C004C0051206241201AAD0053A |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E60030020312094978 |
:0603E60030020312094E73 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206B9121C |
:0603FA000450120D19125F |
:0804000008B3D2AF1207C202DB |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E196E0F58212040AD0029030 |
:0D04EC00E196E02AFAF582C00212040A909F |
:0B04F900E1B4E0F58212040AD002908A |
:0A050400E1B4E02AF58212040A9027 |
:0A050E00E1DEE0F58212040A7A0033 |
:0E05180090E196E0FBC3EA64808BF063F08014 |
:0B05260095F05017EA2496F582E434AB |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1B4E0FBC3EA64808BF063F080CB |
:0B05510095F05017EA24B4F582E43462 |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1DEE0FBC3EA64808BF063F08076 |
:0B057C0095F05017EA24DEF582E4340D |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206BE12BF |
:0603FF000455120D1E1250 |
:0804050008B8D2AF1207C702CC |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E196E0F58212040FD0029026 |
:0D04F100E196E02AFAF582C00212040F9095 |
:0B04FE00E1B4E0F58212040FD0029080 |
:0A050900E1B4E02AF58212040F901D |
:0A051300E1DEE0F58212040F7A0029 |
:0E051D0090E196E0FBC3EA64808BF063F0800F |
:0B052B0095F05017EA2496F582E434A6 |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1B4E0FBC3EA64808BF063F080C6 |
:0B05560095F05017EA24B4F582E4345D |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1DEE0FBC3EA64808BF063F08071 |
:0B05810095F05017EA24DEF582E43408 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
201,243 → 201,243
:0EE1D0006600690066006F005F00610073006A |
:0EE1DE001203300030003000300030003000FE |
:04E1EC0030003000CF |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0605FB009001F4020DD591 |
:0E060100AA82ABB574FE5BF5F0741C45F0F5F3 |
:0E060F00B5EA1392B4EAA2E192B3EAA2E49231 |
:02061D00B22207 |
:0E061F00120601A2B0E433FA74024AF58222F8 |
:0E062D00E5821392B2D2B413C2B492B2D2B428 |
:0E063B0013C2B492B2D2B413C2B492B2D2B40B |
:0E06490013C2B492B2D2B413C2B492B2D2B4FD |
:0E06570013C2B492B2D2B413C2B492B2D2B4EF |
:0506650000C2B42222D6 |
:0E066A00E582A2B01392B2D2B4C2B4A2B01311 |
:0E06780092B2D2B4C2B4A2B01392B2D2B4C243 |
:0E068600B4A2B01392B2D2B4C2B4A2B0139216 |
:0E069400B2D2B4C2B4A2B01392B2D2B4C2B405 |
:0E06A200A2B01392B2D2B4C2B4A2B01392B2FC |
:0906B000D2B400C2B4F58222228A |
:0D06B90090E6007412F090E60174ABF09032 |
:0E06C600E6707480F00090E60274E0F00090A0 |
:0E06D400E60374F9F00090E6047480F00090E4 |
:0D06E200E60B7403F00090E610E4F00090C9 |
:0D06EF00E61174A0F00090E618E4F0009011 |
:0E06FC00E6047402F00090E61274A2F0009082 |
:0E070A00E61374A2F00090E61474E0F0009084 |
:0E071800E6157402F00090E6047404F0009000 |
:0E072600E6047406F00090E6047408F00090FB |
:0E073400E604E4F00090E60BE4F00090E649E5 |
:0E0742007484F00090E6497484F00090E6198B |
:0E075000E4F00090E6197410F00090E63274A8 |
:0E075E0080F00090E6337404F00090E61A7408 |
:0E076C000CF00090E6247402F00090E625E404 |
:0E077A00F00090E6347419F00090E63574FC3F |
:0E078800F00090E61BE4F0000090E691748013 |
:0A079600F00090E6917480F000225C |
:0807A00090E6A0E04401F02204 |
:0E07A800AA8274805AC423541FFB740F5A9007 |
:0C07B600E6834BF090E683E04420F02244 |
:0E07C20090E680E0440AF09000FA120DD59007 |
:0E07D000E65D74FFF090E65F74FFF05391EF6A |
:0807DE0090E680E054F7F022E0 |
:060E0100E478FFF6D8FDC5 |
:060DE600751200751300F8 |
:0A07E60090E680E0FA30E719751480 |
:0307F0000075157C |
:0307F300E1751697 |
:0307F60012751762 |
:0307F900E175188F |
:0307FC001C751950 |
:0307FF00E1751A87 |
:0308020066751BFD |
:02080500E122EE |
:0408070075144A75A5 |
:03080B0015E1757F |
:03080E00165C7500 |
:0308110017E17577 |
:03081400186675EE |
:0308170019E1756F |
:03081A001A1C7530 |
:03081D001BE122BA |
:090820005391EF75A100D20232E0 |
:0C082900C021C0E0C0F0C082C083C0024B |
:0E083500C003C004C005C006C007C000C0015B |
:0E084300C0D075D0005391EF75A1001207E6EA |
:0E085100D0D0D001D000D007D006D005D00402 |
:0D085F00D003D002D083D082D0F0D0E0D002 |
:02086C00213237 |
:0C086E00C021C0E0C0F0C082C083C00206 |
:0E087A00C003C004C005C006C007C000C00116 |
:0E088800C0D075D0005391EF75A1001207E6A5 |
:0E089600D0D0D001D000D007D006D005D004BD |
:0D08A400D003D002D083D082D0F0D0E0D0BD |
:0208B1002132F2 |
:0508B3001207E6751CB0 |
:0308B80020751D8B |
:0808BB0008758200120C727531 |
:0308C3001C297578 |
:0608C6001D0875821012EE |
:0408CC000C72751C19 |
:0308D0006E751D25 |
:0C08D30008758214120C7290E65C7431FF |
:0208DF00F02205 |
:0D08E100E582547FFA24F75004758200224E |
:0708EE00BA0104758201222A |
:0808F500530201E4BA00010402 |
:0308FD00F582225F |
:0E090000AA82BA010C90E6A1E0FB7C008B827B |
:03090E008C8322B5 |
:0E091100BA810C90E6A2E0FB7C008B828C8306 |
:01091F0022B5 |
:0E09200053027FEA700C90E6A0E0FB7C008B97 |
:04092E00828C832212 |
:0E09320090E6A3E0FB7C00EAC313FA7D00EA26 |
:090940002BFBED3C8B82F58322B8 |
:0C094900C20290E6B8E0FA530260BA0067 |
:03095500028028F5 |
:05095800BA2002800D31 |
:05095D00BA4002800E0B |
:05096200BA60028003F1 |
:03096700020C0778 |
:06096A001207A0020C07B9 |
:0A097000120372E5826003020C0717 |
:06097A001207A0020C07A9 |
:0D09800090E6B8E0FA530280BA80028003CE |
:03098D00020B0852 |
:0B09900090E6B9E0FABA0003020A8109 |
:05099B00BA06028035E0 |
:0509A000BA0802800806 |
:0509A500BA0A028017F0 |
:0309AA00020B023B |
:0C09AD0090E740E512F090E68AE4F0903C |
:0809B900E68B7401F0020C074B |
:0C09C10090E740E513F090E68AE4F09027 |
:0809CD00E68B7401F0020C0737 |
:0A09D50090E6BBE0FABA01028017B9 |
:0509DF00BA020280389D |
:0509E400BA0302805976 |
:0509E900BA0602801BAC |
:0509EE00BA0702803C85 |
:0309F300020A7B7A |
:0A09F600AA14AB1590E6B3EBF07BFA |
:090A00000090E6B4EAF0020C07D4 |
:0A0A0900AA16AB1790E6B3EBF07BE2 |
:090A13000090E6B4EAF0020C07C1 |
:0A0A1C00AA18AB1990E6B3EBF07BCB |
:090A26000090E6B4EAF0020C07AE |
:0A0A2F00AA1AAB1B90E6B3EBF07BB4 |
:090A39000090E6B4EAF0020C079B |
:0E0A420090E6BAE0FA90E186E0FBC3EA9B4042 |
:070A5000061207A0020C07CB |
:0C0A570090E6BAE075F002A42487F58256 |
:0B0A630074E135F0F583E0FAA3E090A9 |
:0D0A6E00E6B3F07B0090E6B4EAF0020C075E |
:060A7B001207A0020C07A7 |
:0D0A810090E6B8E0FA53021FBA0002800AA6 |
:050A8E00BA0102801B0B |
:050A9300BA0266802C90 |
:0E0A980090E740E4F090E741F090E68AF0909D |
:080AA600E68B7402F0020C075C |
:0E0AAE0090E740E4F090E741F090E68AF09087 |
:080ABC00E68B7402F0020C0746 |
:0E0AC40090E6BCE0F5821208E1E58260259024 |
:0E0AD200E6BCE0F582120900E0FA5302019042 |
:0E0AE000E740EAF090E741E4F090E68AF090FB |
:080AEE00E68B7402F0020C0714 |
:060AF6001207A0020C072C |
:060AFC001207A0020C0726 |
:060B02001207A0020C071F |
:0C0B080090E6B9E0FA24F45003020C045B |
:070B1400EA2A2A900B1B7373 |
:070B1B00020C04020B510261 |
:060B22000BCC020BAD023A |
:060B28000C04020C0402A3 |
:060B2E000C04020C04029D |
:060B34000C04020B3F025D |
:050B3A000C04020B4851 |
:090B3F0090E6BAE0F512020C0781 |
:090B480090E6BAE0F513020C0777 |
:0D0B510090E6B8E0FA53021FBA00028005DA |
:050B5E00BA0247800A05 |
:0A0B630090E6BAE01207A0020C07AA |
:0D0B6D0090E6BAE0703090E6BCE0F5821230 |
:0D0B7A0008E1E582602390E6BCE0F5821200 |
:0E0B87000900AA82AB83E0FC5304FE8A828B35 |
:0E0B950083ECF090E6BCE0F5821207A88064C5 |
:050BA3001207A0805FB5 |
:050BA8001207A0805AB5 |
:0E0BAD0090E6B8E0FA53021FBA004F90E6BA85 |
:070BBB00E0FABA0102800517 |
:050BC200BA02028040B0 |
:050BC7001207A0803BB5 |
:0E0BCC0090E6BAE0FA702C90E6BCE0F58212DA |
:0D0BDA0008E1E582601A90E6BCE0F58212A9 |
:0E0BE7000900AA82AB83E0FC4304018A828BE2 |
:050BF50083ECF0800D0F |
:050BFA001207A08008B5 |
:050BFF001207A08003B5 |
:030C04001207A034 |
:080C070090E6A0E04480F02219 |
:050C0F00AA82BA0300F7 |
:070C14004005EA249C500199 |
:010C1B0022B6 |
:090C1C00740F5AFBBB03028007B0 |
:070C2500740F5AFBBB0B45E5 |
:0A0C2C00A2AF9203C2AFEA2400F564 |
:0C0C360082E43400F5837402F07B00744B |
:0C0C4200012AFCE43BFDEC2400F582EDEF |
:0A0C4E003400F583E51DFCF074028C |
:0C0C58002AFAE43BFBEA2400F582EB34AE |
:0A0C640000F583AA1C7B00EAF0A251 |
:030C6E000392AF3F |
:010C71002260 |
:080C7200E582FA2483500122FF |
:060C7A00EA5403600122B0 |
:0A0C8000A2AF9204C2AFEA2400F50F |
:0C0C8A0082E43401F5837402F07B0074F6 |
:0C0C9600012AFCE43BFDEC2400F582ED9B |
:0A0CA2003401F583E51DF074022A09 |
:0B0CAC00FAE43BFBEA2400F582EB3485 |
:080CB70001F583AA1CEAF0A27A |
:040CBF000492AF22CA |
:050CC300AA82BA8000C6 |
:070CC8004005EA244B500136 |
:010CCF002202 |
:060CD000EA54036001225A |
:0A0CD600A2AF9205C2AFEA2480F538 |
:0C0CE00082E43400F5837402F07B0074A1 |
:0C0CEC00012AFCE43BFDEC2480F582EDC5 |
:0A0CF8003400F583E51DF074022AB4 |
:0B0D0200FAE43BFBEA2480F582EB34AE |
:080D0D0000F583AA1CEAF0A224 |
:040D15000592AF2272 |
:080D1900C2E8C2EA751C007576 |
:060D21001D017582431262 |
:040D27000C0F751C1C |
:030D2B0080751DB3 |
:0C0D2E0000758253120C0F0090E650E498 |
:0E0D3A00F00090E652E4F00090E654E4F00081 |
:0E0D480090E656E4F00090E65174FFF0009043 |
:0E0D5600E65374FFF00090E65574FFF0009035 |
:0E0D6400E65774FFF00090E658E4F090E65970 |
:0E0D720074FFF090E65AE4F090E65B74FFF038 |
:0E0D800090E65CE4F090E65D74FFF090E65EB5 |
:0E0D8E00E4F090E65F74FFF00090E660E4F0A1 |
:0D0D9C000090E66174FFF090E662E4F090D4 |
:0E0DA900E66374FFF090E665E4F090E668748F |
:0A0DB7000BF05391AFD2E8D2EA220C |
:010DC100220F |
:020DC200AA8203 |
:060DC400120DC1DAFB2252 |
:030DCA0090FB504B |
:080DCD00A3E582458370F922C1 |
:040DD500AA82AB83C0 |
:080DD900120DCA1ABAFF011B3A |
:050DE100EA4B70F42252 |
:030DF400758121E5 |
:0A0DF7001205D5E5826003020DEF3E |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:060600009001F4020DDA86 |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E0F000907F |
:0E071D00E6157402F00090E6047404F00090FB |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347419F00090E63574FC3A |
:0E078D00F00090E61BE4F0000090E69174800E |
:0A079B00F00090E6917480F0002257 |
:0807A50090E6A0E04401F022FF |
:0E07AD00AA8274805AC423541FFB740F5A9002 |
:0C07BB00E6834BF090E683E04420F0223F |
:0E07C70090E680E0440AF09000FA120DDA90FD |
:0E07D500E65D74FFF090E65F74FFF05391EF65 |
:0807E30090E680E054F7F022DB |
:060E0600E478FFF6D8FDC0 |
:060DEB00751200751300F3 |
:0A07EB0090E680E0FA30E71975147B |
:0307F50000751577 |
:0307F800E1751692 |
:0307FB001275175D |
:0307FE00E175188A |
:030801001C75194A |
:03080400E1751A81 |
:0308070066751BF8 |
:02080A00E122E9 |
:04080C0075144A75A0 |
:0308100015E1757A |
:03081300165C75FB |
:0308160017E17572 |
:03081900186675E9 |
:03081C0019E1756A |
:03081F001A1C752B |
:030822001BE122B5 |
:090825005391EF75A100D20232DB |
:0C082E00C021C0E0C0F0C082C083C00246 |
:0E083A00C003C004C005C006C007C000C00156 |
:0E084800C0D075D0005391EF75A1001207EBE0 |
:0E085600D0D0D001D000D007D006D005D004FD |
:0D086400D003D002D083D082D0F0D0E0D0FD |
:02087100213232 |
:0C087300C021C0E0C0F0C082C083C00201 |
:0E087F00C003C004C005C006C007C000C00111 |
:0E088D00C0D075D0005391EF75A1001207EB9B |
:0E089B00D0D0D001D000D007D006D005D004B8 |
:0D08A900D003D002D083D082D0F0D0E0D0B8 |
:0208B6002132ED |
:0508B8001207EB751CA6 |
:0308BD0025751D81 |
:0808C00008758200120C777527 |
:0308C8001C2E756E |
:0608CB001D0875821012E9 |
:0408D1000C77751C0F |
:0308D50073751D1B |
:0C08D80008758214120C7790E65C7431F5 |
:0208E400F02200 |
:0D08E600E582547FFA24F750047582002249 |
:0708F300BA01047582012225 |
:0808FA00530201E4BA000104FD |
:03090200F5822259 |
:0E090500AA82BA010C90E6A1E0FB7C008B8276 |
:030913008C8322B0 |
:0E091600BA810C90E6A2E0FB7C008B828C8301 |
:0109240022B0 |
:0E09250053027FEA700C90E6A0E0FB7C008B92 |
:04093300828C83220D |
:0E09370090E6A3E0FB7C00EAC313FA7D00EA21 |
:090945002BFBED3C8B82F58322B3 |
:0C094E00C20290E6B8E0FA530260BA0062 |
:03095A00028028F0 |
:05095D00BA2002800D2C |
:05096200BA4002800E06 |
:05096700BA60028003EC |
:03096C00020C0C6E |
:06096F001207A5020C0CAA |
:0A097500120372E5826003020C0C0D |
:06097F001207A5020C0C9A |
:0D09850090E6B8E0FA530280BA80028003C9 |
:03099200020B0D48 |
:0B09950090E6B9E0FABA0003020A86FF |
:0509A000BA06028035DB |
:0509A500BA0802800801 |
:0509AA00BA0A028017EB |
:0309AF00020B0731 |
:0C09B20090E740E512F090E68AE4F09037 |
:0809BE00E68B7401F0020C0C41 |
:0C09C60090E740E513F090E68AE4F09022 |
:0809D200E68B7401F0020C0C2D |
:0A09DA0090E6BBE0FABA01028017B4 |
:0509E400BA0202803898 |
:0509E900BA0302805971 |
:0509EE00BA0602801BA7 |
:0509F300BA0702803C80 |
:0309F800020A8070 |
:0A09FB00AA14AB1590E6B3EBF07BF5 |
:090A05000090E6B4EAF0020C0CCA |
:0A0A0E00AA16AB1790E6B3EBF07BDD |
:090A18000090E6B4EAF0020C0CB7 |
:0A0A2100AA18AB1990E6B3EBF07BC6 |
:090A2B000090E6B4EAF0020C0CA4 |
:0A0A3400AA1AAB1B90E6B3EBF07BAF |
:090A3E000090E6B4EAF0020C0C91 |
:0E0A470090E6BAE0FA90E186E0FBC3EA9B403D |
:070A5500061207A5020C0CBC |
:0C0A5C0090E6BAE075F002A42487F58251 |
:0B0A680074E135F0F583E0FAA3E090A4 |
:0D0A7300E6B3F07B0090E6B4EAF0020C0C54 |
:060A80001207A5020C0C98 |
:0D0A860090E6B8E0FA53021FBA0002800AA1 |
:050A9300BA0102801B06 |
:050A9800BA0266802C8B |
:0E0A9D0090E740E4F090E741F090E68AF09098 |
:080AAB00E68B7402F0020C0C52 |
:0E0AB30090E740E4F090E741F090E68AF09082 |
:080AC100E68B7402F0020C0C3C |
:0E0AC90090E6BCE0F5821208E6E5826025901A |
:0E0AD700E6BCE0F582120905E0FA5302019038 |
:0E0AE500E740EAF090E741E4F090E68AF090F6 |
:080AF300E68B7402F0020C0C0A |
:060AFB001207A5020C0C1D |
:060B01001207A5020C0C16 |
:060B07001207A5020C0C10 |
:0C0B0D0090E6B9E0FA24F45003020C0951 |
:070B1900EA2A2A900B207369 |
:070B2000020C09020B560252 |
:060B27000BD1020BB2022B |
:060B2D000C09020C090294 |
:060B33000C09020C09028E |
:060B39000C09020B44024E |
:050B3F000C09020B4D42 |
:090B440090E6BAE0F512020C0C77 |
:090B4D0090E6BAE0F513020C0C6D |
:0D0B560090E6B8E0FA53021FBA00028005D5 |
:050B6300BA0247800A00 |
:0A0B680090E6BAE01207A5020C0C9B |
:0D0B720090E6BAE0703090E6BCE0F582122B |
:0D0B7F0008E6E582602390E6BCE0F58212F6 |
:0E0B8C000905AA82AB83E0FC5304FE8A828B2B |
:0E0B9A0083ECF090E6BCE0F5821207AD8064BB |
:050BA8001207A5805FAB |
:050BAD001207A5805AAB |
:0E0BB20090E6B8E0FA53021FBA004F90E6BA80 |
:070BC000E0FABA0102800512 |
:050BC700BA02028040AB |
:050BCC001207A5803BAB |
:0E0BD10090E6BAE0FA702C90E6BCE0F58212D5 |
:0D0BDF0008E6E582601A90E6BCE0F582129F |
:0E0BEC000905AA82AB83E0FC4304018A828BD8 |
:050BFA0083ECF0800D0A |
:050BFF001207A58008AB |
:050C04001207A58003AA |
:030C09001207A52A |
:080C0C0090E6A0E04480F02214 |
:050C1400AA82BA0300F2 |
:070C19004005EA249C500194 |
:010C200022B1 |
:090C2100740F5AFBBB03028007AB |
:070C2A00740F5AFBBB0B45E0 |
:0A0C3100A2AF9203C2AFEA2400F55F |
:0C0C3B0082E43400F5837402F07B007446 |
:0C0C4700012AFCE43BFDEC2400F582EDEA |
:0A0C53003400F583E51DFCF0740287 |
:0C0C5D002AFAE43BFBEA2400F582EB34A9 |
:0A0C690000F583AA1C7B00EAF0A24C |
:030C73000392AF3A |
:010C7600225B |
:080C7700E582FA2483500122FA |
:060C7F00EA5403600122AB |
:0A0C8500A2AF9204C2AFEA2400F50A |
:0C0C8F0082E43401F5837402F07B0074F1 |
:0C0C9B00012AFCE43BFDEC2400F582ED96 |
:0A0CA7003401F583E51DF074022A04 |
:0B0CB100FAE43BFBEA2400F582EB3480 |
:080CBC0001F583AA1CEAF0A275 |
:040CC4000492AF22C5 |
:050CC800AA82BA8000C1 |
:070CCD004005EA244B500131 |
:010CD40022FD |
:060CD500EA540360012255 |
:0A0CDB00A2AF9205C2AFEA2480F533 |
:0C0CE50082E43400F5837402F07B00749C |
:0C0CF100012AFCE43BFDEC2480F582EDC0 |
:0A0CFD003400F583E51DF074022AAF |
:0B0D0700FAE43BFBEA2480F582EB34A9 |
:080D120000F583AA1CEAF0A21F |
:040D1A000592AF226D |
:080D1E00C2E8C2EA751C007571 |
:060D26001D01758243125D |
:040D2C000C14751C12 |
:030D300080751DAE |
:0C0D330000758253120C140090E650E48E |
:0E0D3F00F00090E652E4F00090E654E4F0007C |
:0E0D4D0090E656E4F00090E65174FFF000903E |
:0E0D5B00E65374FFF00090E65574FFF0009030 |
:0E0D6900E65774FFF00090E658E4F090E6596B |
:0E0D770074FFF090E65AE4F090E65B74FFF033 |
:0E0D850090E65CE4F090E65D74FFF090E65EB0 |
:0E0D9300E4F090E65F74FFF00090E660E4F09C |
:0D0DA1000090E66174FFF090E662E4F090CF |
:0E0DAE00E66374FFF090E665E4F090E668748A |
:0A0DBC000BF05391AFD2E8D2EA2207 |
:010DC600220A |
:020DC700AA82FE |
:060DC900120DC6DAFB2248 |
:030DCF0090FB5046 |
:080DD200A3E582458370F922BC |
:040DDA00AA82AB83BB |
:080DDE00120DCF1ABAFF011B30 |
:050DE600EA4B70F4224D |
:030DF900758121E0 |
:0A0DFC001205DAE5826003020DF42F |
:00000001FF |
/tools/fx2/bin/nexys3_jtag_3fifo_as.ihx
1,4 → 1,4
:06000000020E0202006B7B |
:06000000020E0702006B76 |
:03000B0002006B85 |
:0300130002006B7D |
:03001B0002006B75 |
35,14 → 35,14
:08016900006B0002006B0002B4 |
:08017100006B0002006B0002AC |
:07017900006B0002006B00A7 |
:030E0800020E10C7 |
:030E2300020E0BB1 |
:050E0B001203F180FE5E |
:030E0D00020E15BD |
:030E2800020E10A7 |
:050E10001203F180FE59 |
:06018000C200E4F508F5E1 |
:0401860009F50AD29B |
:05018A0001750B00757A |
:06018F000C001205FB123A |
:0E01950005F875CB6375CAC0758E0075C80479 |
:06018F000C001206001234 |
:0E01950005FD75CB6375CAC0758E0075C80474 |
:0701A300D2AFD2B0D2B122AD |
:0B01AA00AA82850C827583E0EAF00554 |
:0501B5000C0509E4B592 |
49,7 → 49,7
:0401BA000902050A27 |
:0101BE00221E |
:0401BF0020000122F9 |
:0E01C3001205F790E6A2E0FA30E103020257BF |
:0E01C3001205FC90E6A2E0FA30E103020257BA |
:0A01D100E509450A60687AC07BE783 |
:0E01DB008B9D7AC07BE77B008A9E90E67C7449 |
:0C01E90031F07460F0C3743E9509E49599 |
81,19 → 81,19
:0B02C400FCEF3DFD30012C8E008F018F |
:0902CF008802890318B8FF011927 |
:0E02D800EA4B60B390E67BE0F582C004C005FF |
:0E02E600C000C00112062DD001D000D005D0FE |
:0E02E600C000C001120632D001D000D005D0F9 |
:0302F4000480D8AB |
:0402F7008E028F03E1 |
:0902FB008A068B071ABAFF011BE9 |
:0E030400EE4F608790E67BE0F582C002C003FA |
:0E031200C004C00512066A1201AAD005D0046C |
:0E031200C004C00512066F1201AAD005D00467 |
:06032000D003D00280D5DD |
:0D03260090E67BE0FA23235401FBB40100B4 |
:0A0333009201EA30E707743F5AF523 |
:03033D0008802213 |
:0C03400030010F8A82C004C005120601C3 |
:0C03400030010F8A82C004C005120606BE |
:06034C00D005D004801072 |
:0E0352008A82C004C00512061F1201AAD0053F |
:0E0352008A82C004C0051206241201AAD0053A |
:02036000D004C7 |
:050362000CBC00010DC0 |
:0303670002028F00 |
111,67 → 111,67
:0903D200500790E6BEE0FA80023B |
:0203DB007A02A4 |
:0903DD0090E68BEAF07582012222 |
:0603E6003002031209655C |
:0603E60030020312096A57 |
:0503EC001201BF80F5C5 |
:0903F100C2AF1201801206B9121C |
:0603FA000450120D351243 |
:0804000008CFD2AF1207DE02A3 |
:0204080003E609 |
:0A040A00AA82E50F54FEFBB50F02B5 |
:0204140080293D |
:0A0416008A048C05E46210ED621107 |
:0A042000EB2400F582E43418F583A4 |
:0A042A00E0FB7C006210EC6211E5BB |
:060434001033C51133C5B1 |
:05043A001192E0F51035 |
:06043F00AB0F050FEB24DA |
:0A04450000F582E43418F583EAF0B4 |
:01044F00228A |
:07045000750F007510AA757D |
:0C04570011AA75820012040A75820012BE |
:04046300040A7A000D |
:0C046700C3EA648094865017EA2408F56C |
:0C04730082E434E1F583E0F582C002125F |
:07047F00040AD0020A80E12B |
:020486007A00FA |
:0C048800C3EA648094825017EA2423F534 |
:0C04940082E434E1F583E0F582C002123E |
:0704A000040AD0020A80E10A |
:0E04A70075821C12040A75820012040A7A0083 |
:0C04B500C3EA648094825017EA2402F528 |
:0C04C10082E434E1F583E0F582C0021211 |
:0704CD00040AD0020A80E1DD |
:0C04D4007486250FFAF582C00212040A9B |
:0C04E00090E19EE0F58212040AD0029028 |
:0D04EC00E19EE02AFAF582C00212040A9097 |
:0B04F900E1BCE0F58212040AD0029082 |
:0A050400E1BCE02AF58212040A901F |
:0A050E00E1E6E0F58212040A7A002B |
:0E05180090E19EE0FBC3EA64808BF063F0800C |
:0B05260095F05017EA249EF582E434A3 |
:0C053100E1F583E0F582C00212040AD05C |
:04053D00020A80D757 |
:020541007A003E |
:0E05430090E1BCE0FBC3EA64808BF063F080C3 |
:0B05510095F05017EA24BCF582E4345A |
:0C055C00E1F583E0F582C00212040AD031 |
:04056800020A80D72C |
:02056C007A0013 |
:0E056E0090E1E6E0FBC3EA64808BF063F0806E |
:0B057C0095F05017EA24E6F582E43405 |
:0C058700E1F583E0F582C00212040AD006 |
:04059300020A80D701 |
:020597007A00E8 |
:0C059900C3EA648094845017EA240EF535 |
:0C05A50082E434E1F583E0F582C002122C |
:0705B100040AD0020A80E1F8 |
:0C05B8007482250F400875820012040AAE |
:0205C40080F2C3 |
:0805C600AA1090187EEAF0AAC9 |
:0705CE001190187FEAF022F2 |
:0805D5007880E84400600C7915 |
:0C05DD0001901800E4F0A3D8FCD9FAD07B |
:0E05E90083D082F6D8FDC082C08375820022C6 |
:0E03F100C2AF90E609E4F01201801206BE12BF |
:0603FF000455120D3A1234 |
:0804050008D4D2AF1207E30294 |
:02040D0003E604 |
:0A040F00AA82E50F54FEFBB50F02B0 |
:02041900802938 |
:0A041B008A048C05E46210ED621102 |
:0A042500EB2400F582E43418F5839F |
:0A042F00E0FB7C006210EC6211E5B6 |
:060439001033C51133C5AC |
:05043F001192E0F51030 |
:06044400AB0F050FEB24D5 |
:0A044A0000F582E43418F583EAF0AF |
:010454002285 |
:07045500750F007510AA7578 |
:0C045C0011AA75820012040F75820012B4 |
:04046800040F7A0003 |
:0C046C00C3EA648094865017EA2408F567 |
:0C04780082E434E1F583E0F582C002125A |
:07048400040FD0020A80E121 |
:02048B007A00F5 |
:0C048D00C3EA648094825017EA2423F52F |
:0C04990082E434E1F583E0F582C0021239 |
:0704A500040FD0020A80E100 |
:0E04AC0075821C12040F75820012040F7A0074 |
:0C04BA00C3EA648094825017EA2402F523 |
:0C04C60082E434E1F583E0F582C002120C |
:0704D200040FD0020A80E1D3 |
:0C04D9007486250FFAF582C00212040F91 |
:0C04E50090E19EE0F58212040FD002901E |
:0D04F100E19EE02AFAF582C00212040F908D |
:0B04FE00E1BCE0F58212040FD0029078 |
:0A050900E1BCE02AF58212040F9015 |
:0A051300E1E6E0F58212040F7A0021 |
:0E051D0090E19EE0FBC3EA64808BF063F08007 |
:0B052B0095F05017EA249EF582E4349E |
:0C053600E1F583E0F582C00212040FD052 |
:04054200020A80D752 |
:020546007A0039 |
:0E05480090E1BCE0FBC3EA64808BF063F080BE |
:0B05560095F05017EA24BCF582E43455 |
:0C056100E1F583E0F582C00212040FD027 |
:04056D00020A80D727 |
:020571007A000E |
:0E05730090E1E6E0FBC3EA64808BF063F08069 |
:0B05810095F05017EA24E6F582E43400 |
:0C058C00E1F583E0F582C00212040FD0FC |
:04059800020A80D7FC |
:02059C007A00E3 |
:0C059E00C3EA648094845017EA240EF530 |
:0C05AA0082E434E1F583E0F582C0021227 |
:0705B600040FD0020A80E1EE |
:0C05BD007482250F400875820012040FA4 |
:0205C90080F2BE |
:0805CB00AA1090187EEAF0AAC4 |
:0705D3001190187FEAF022ED |
:0805DA007880E84400600C7910 |
:0C05E20001901800E4F0A3D8FCD9FAD076 |
:0E05EE0083D082F6D8FDC082C08375820022C1 |
:02E1000012010A |
:06E10200000200000040D5 |
:06E10800C016EF03040045 |
202,245 → 202,245
:0EE1D8006600690066006F005F006100730062 |
:0EE1E6001203300030003000300030003000F6 |
:04E1F40030003000C7 |
:0105F70022E1 |
:0105F80022E0 |
:0105F90022DF |
:0105FA0022DE |
:0605FB009001F4020DF175 |
:0E060100AA82ABB574FE5BF5F0741C45F0F5F3 |
:0E060F00B5EA1392B4EAA2E192B3EAA2E49231 |
:02061D00B22207 |
:0E061F00120601A2B0E433FA74024AF58222F8 |
:0E062D00E5821392B2D2B413C2B492B2D2B428 |
:0E063B0013C2B492B2D2B413C2B492B2D2B40B |
:0E06490013C2B492B2D2B413C2B492B2D2B4FD |
:0E06570013C2B492B2D2B413C2B492B2D2B4EF |
:0506650000C2B42222D6 |
:0E066A00E582A2B01392B2D2B4C2B4A2B01311 |
:0E06780092B2D2B4C2B4A2B01392B2D2B4C243 |
:0E068600B4A2B01392B2D2B4C2B4A2B0139216 |
:0E069400B2D2B4C2B4A2B01392B2D2B4C2B405 |
:0E06A200A2B01392B2D2B4C2B4A2B01392B2FC |
:0906B000D2B400C2B4F58222228A |
:0D06B90090E6007412F090E60174ABF09032 |
:0E06C600E6707480F00090E60274E0F00090A0 |
:0E06D400E60374F9F00090E6047480F00090E4 |
:0D06E200E60B7403F00090E610E4F00090C9 |
:0D06EF00E61174A0F00090E618E4F0009011 |
:0E06FC00E6047402F00090E61274A2F0009082 |
:0E070A00E61374A2F00090E61474E2F0009082 |
:0E071800E61574E2F00090E6047404F0009020 |
:0E072600E6047406F00090E6047408F00090FB |
:0E073400E604E4F00090E60BE4F00090E649E5 |
:0E0742007484F00090E6497484F00090E6198B |
:0E075000E4F00090E6197410F00090E63274A8 |
:0E075E0080F00090E6337404F00090E61A7408 |
:0E076C000CF00090E6247402F00090E625E404 |
:0E077A00F00090E6347409F00090E63574FC4F |
:0E078800F00090E61B740CF00090E626740260 |
:0E079600F00090E627E4F00090E6367441F0A3 |
:0E07A4000090E63774FCF0000090E69174803F |
:0A07B200F00090E6917480F0002240 |
:0807BC0090E6A0E04401F022E8 |
:0E07C400AA8274805AC423541FFB740F5A90EB |
:0C07D200E6834BF090E683E04420F02228 |
:0E07DE0090E680E0440AF09000FA120DF190CF |
:0E07EC00E65D74FFF090E65F74FFF05391EF4E |
:0807FA0090E680E054F7F022C4 |
:060E1D00E478FFF6D8FDA9 |
:060E0200751200751300DB |
:0A08020090E680E0FA30E719751463 |
:03080C000075155F |
:03080F00E175167A |
:0308120012751745 |
:03081500E1751872 |
:030818001C751933 |
:03081B00E1751A6A |
:03081E006E751BD9 |
:02082100E122D2 |
:040823007514527581 |
:0308270015E17563 |
:03082A00166475DC |
:03082D0017E1755B |
:03083000186E75CA |
:0308330019E17553 |
:030836001A1C7514 |
:030839001BE1229E |
:09083C005391EF75A100D20232C4 |
:0C084500C021C0E0C0F0C082C083C0022F |
:0E085100C003C004C005C006C007C000C0013F |
:0E085F00C0D075D0005391EF75A100120802B1 |
:0E086D00D0D0D001D000D007D006D005D004E6 |
:0D087B00D003D002D083D082D0F0D0E0D0E6 |
:0208880021321B |
:0C088A00C021C0E0C0F0C082C083C002EA |
:0E089600C003C004C005C006C007C000C001FA |
:0E08A400C0D075D0005391EF75A1001208026C |
:0E08B200D0D0D001D000D007D006D005D004A1 |
:0D08C000D003D002D083D082D0F0D0E0D0A1 |
:0208CD002132D6 |
:0508CF00120802751C77 |
:0308D4003C751D53 |
:0808D70008758200120C8E75F9 |
:0308DF001C457540 |
:0608E2001D0875821012D2 |
:0408E8000C8E751CE1 |
:0308EC008A751DED |
:0C08EF0008758214120C8E90E65C7431C7 |
:0208FB00F022E9 |
:0D08FD00E582547FFA24F750047582002232 |
:07090A00BA0104758201220D |
:08091100530201E4BA000104E5 |
:03091900F5822242 |
:0E091C00AA82BA010C90E6A1E0FB7C008B825F |
:03092A008C832299 |
:0E092D00BA810C90E6A2E0FB7C008B828C83EA |
:01093B002299 |
:0E093C0053027FEA700C90E6A0E0FB7C008B7B |
:04094A00828C8322F6 |
:0E094E0090E6A3E0FB7C00EAC313FA7D00EA0A |
:09095C002BFBED3C8B82F583229C |
:0C096500C20290E6B8E0FA530260BA004B |
:03097100028028D9 |
:05097400BA2002800D15 |
:05097900BA4002800EEF |
:05097E00BA60028003D5 |
:03098300020C2340 |
:060986001207BC020C2365 |
:0A098C00120372E5826003020C23DF |
:060996001207BC020C2355 |
:0D099C0090E6B8E0FA530280BA80028003B2 |
:0309A900020B241A |
:0B09AC0090E6B9E0FABA0003020A9DD1 |
:0509B700BA06028035C4 |
:0509BC00BA08028008EA |
:0509C100BA0A028017D4 |
:0309C600020B1E03 |
:0C09C90090E740E512F090E68AE4F09020 |
:0809D500E68B7401F0020C2313 |
:0C09DD0090E740E513F090E68AE4F0900B |
:0809E900E68B7401F0020C23FF |
:0A09F10090E6BBE0FABA010280179D |
:0509FB00BA0202803881 |
:050A0000BA0302805959 |
:050A0500BA0602801B8F |
:050A0A00BA0702803C68 |
:030A0F00020A9741 |
:0A0A1200AA14AB1590E6B3EBF07BDD |
:090A1C000090E6B4EAF0020C239C |
:0A0A2500AA16AB1790E6B3EBF07BC6 |
:090A2F000090E6B4EAF0020C2389 |
:0A0A3800AA18AB1990E6B3EBF07BAF |
:090A42000090E6B4EAF0020C2376 |
:0A0A4B00AA1AAB1B90E6B3EBF07B98 |
:090A55000090E6B4EAF0020C2363 |
:0E0A5E0090E6BAE0FA90E18EE0FBC3EA9B401E |
:070A6C00061207BC020C2377 |
:0C0A730090E6BAE075F002A4248FF58232 |
:0B0A7F0074E135F0F583E0FAA3E0908D |
:0D0A8A00E6B3F07B0090E6B4EAF0020C2326 |
:060A97001207BC020C2353 |
:0D0A9D0090E6B8E0FA53021FBA0002800A8A |
:050AAA00BA0102801BEF |
:050AAF00BA0266802C74 |
:0E0AB40090E740E4F090E741F090E68AF09081 |
:080AC200E68B7402F0020C2324 |
:0E0ACA0090E740E4F090E741F090E68AF0906B |
:080AD800E68B7402F0020C230E |
:0E0AE00090E6BCE0F5821208FDE582602590EC |
:0E0AEE00E6BCE0F58212091CE0FA530201900A |
:0E0AFC00E740EAF090E741E4F090E68AF090DF |
:080B0A00E68B7402F0020C23DB |
:060B12001207BC020C23D7 |
:060B18001207BC020C23D1 |
:060B1E001207BC020C23CB |
:0C0B240090E6B9E0FA24F45003020C2023 |
:070B3000EA2A2A900B37733B |
:070B3700020C20020B6D020D |
:060B3E000BE8020BC902E6 |
:060B44000C20020C20024F |
:060B4A000C20020C200249 |
:060B50000C20020B5B0209 |
:050B56000C20020B64FD |
:090B5B0090E6BAE0F512020C2349 |
:090B640090E6BAE0F513020C233F |
:0D0B6D0090E6B8E0FA53021FBA00028005BE |
:050B7A00BA0247800AE9 |
:0A0B7F0090E6BAE01207BC020C2356 |
:0D0B890090E6BAE0703090E6BCE0F5821214 |
:0D0B960008FDE582602390E6BCE0F58212C8 |
:0E0BA300091CAA82AB83E0FC5304FE8A828BFD |
:0E0BB10083ECF090E6BCE0F5821207C480648D |
:050BBF001207BC805F7D |
:050BC4001207BC805A7D |
:0E0BC90090E6B8E0FA53021FBA004F90E6BA69 |
:070BD700E0FABA01028005FB |
:050BDE00BA0202804094 |
:050BE3001207BC803B7D |
:0E0BE80090E6BAE0FA702C90E6BCE0F58212BE |
:0D0BF60008FDE582601A90E6BCE0F5821271 |
:0E0C0300091CAA82AB83E0FC4304018A828BA9 |
:050C110083ECF0800DF2 |
:050C16001207BC80087C |
:050C1B001207BC80037C |
:030C20001207BCFC |
:080C230090E6A0E04480F022FD |
:050C2B00AA82BA0300DB |
:070C30004005EA249C50017D |
:010C3700229A |
:090C3800740F5AFBBB0302800794 |
:070C4100740F5AFBBB0B45C9 |
:0A0C4800A2AF9203C2AFEA2400F548 |
:0C0C520082E43400F5837402F07B00742F |
:0C0C5E00012AFCE43BFDEC2400F582EDD3 |
:0A0C6A003400F583E51DFCF0740270 |
:0C0C74002AFAE43BFBEA2400F582EB3492 |
:0A0C800000F583AA1C7B00EAF0A235 |
:030C8A000392AF23 |
:010C8D002244 |
:080C8E00E582FA2483500122E3 |
:060C9600EA540360012294 |
:0A0C9C00A2AF9204C2AFEA2400F5F3 |
:0C0CA60082E43401F5837402F07B0074DA |
:0C0CB200012AFCE43BFDEC2400F582ED7F |
:0A0CBE003401F583E51DF074022AED |
:0B0CC800FAE43BFBEA2400F582EB3469 |
:080CD30001F583AA1CEAF0A25E |
:040CDB000492AF22AE |
:050CDF00AA82BA8000AA |
:070CE4004005EA244B50011A |
:010CEB0022E6 |
:060CEC00EA54036001223E |
:0A0CF200A2AF9205C2AFEA2480F51C |
:0C0CFC0082E43400F5837402F07B007485 |
:0C0D0800012AFCE43BFDEC2480F582EDA8 |
:0A0D14003400F583E51DF074022A97 |
:0B0D1E00FAE43BFBEA2480F582EB3492 |
:080D290000F583AA1CEAF0A208 |
:040D31000592AF2256 |
:080D3500C2E8C2EA751C00755A |
:060D3D001D017582431246 |
:040D43000C2B751CE4 |
:030D470080751D97 |
:0C0D4A0000758253120C2B0090E650E460 |
:0E0D5600F00090E652E4F00090E654E4F00065 |
:0E0D640090E656E4F00090E65174FFF0009027 |
:0E0D7200E65374FFF00090E65574FFF0009019 |
:0E0D8000E65774FFF00090E658E4F090E65954 |
:0E0D8E0074FFF090E65AE4F090E65B74FFF01C |
:0E0D9C0090E65CE4F090E65D74FFF090E65E99 |
:0E0DAA00E4F090E65F74FFF00090E660E4F085 |
:0D0DB8000090E66174FFF090E662E4F090B8 |
:0E0DC500E66374FFF090E665E4F090E6687473 |
:0A0DD3000BF05391AFD2E8D2EA22F0 |
:010DDD0022F3 |
:020DDE00AA82E7 |
:060DE000120DDDDAFB221A |
:030DE60090FB502F |
:080DE900A3E582458370F922A5 |
:040DF100AA82AB83A4 |
:080DF500120DE61ABAFF011B02 |
:050DFD00EA4B70F42236 |
:030E1000758121C8 |
:0A0E13001205D5E5826003020E0B04 |
:0105FC0022DC |
:0105FD0022DB |
:0105FE0022DA |
:0105FF0022D9 |
:060600009001F4020DF66A |
:0E060600AA82ABB574FE5BF5F0741C45F0F5EE |
:0E061400B5EA1392B4EAA2E192B3EAA2E4922C |
:02062200B22202 |
:0E062400120606A2B0E433FA74024AF58222EE |
:0E063200E5821392B2D2B413C2B492B2D2B423 |
:0E06400013C2B492B2D2B413C2B492B2D2B406 |
:0E064E0013C2B492B2D2B413C2B492B2D2B4F8 |
:0E065C0013C2B492B2D2B413C2B492B2D2B4EA |
:05066A0000C2B42222D1 |
:0E066F00E582A2B01392B2D2B4C2B4A2B0130C |
:0E067D0092B2D2B4C2B4A2B01392B2D2B4C23E |
:0E068B00B4A2B01392B2D2B4C2B4A2B0139211 |
:0E069900B2D2B4C2B4A2B01392B2D2B4C2B400 |
:0E06A700A2B01392B2D2B4C2B4A2B01392B2F7 |
:0906B500D2B400C2B4F582222285 |
:0D06BE0090E6007412F090E60174ABF0902D |
:0E06CB00E6707480F00090E60274E0F000909B |
:0E06D900E60374F9F00090E6047480F00090DF |
:0D06E700E60B7403F00090E610E4F00090C4 |
:0D06F400E61174A0F00090E618E4F000900C |
:0E070100E6047402F00090E61274A2F000907C |
:0E070F00E61374A2F00090E61474E2F000907D |
:0E071D00E61574E2F00090E6047404F000901B |
:0E072B00E6047406F00090E6047408F00090F6 |
:0E073900E604E4F00090E60BE4F00090E649E0 |
:0E0747007484F00090E6497484F00090E61986 |
:0E075500E4F00090E6197410F00090E63274A3 |
:0E07630080F00090E6337404F00090E61A7403 |
:0E0771000CF00090E6247402F00090E625E4FF |
:0E077F00F00090E6347409F00090E63574FC4A |
:0E078D00F00090E61B740CF00090E62674025B |
:0E079B00F00090E627E4F00090E6367441F09E |
:0E07A9000090E63774FCF0000090E69174803A |
:0A07B700F00090E6917480F000223B |
:0807C10090E6A0E04401F022E3 |
:0E07C900AA8274805AC423541FFB740F5A90E6 |
:0C07D700E6834BF090E683E04420F02223 |
:0E07E30090E680E0440AF09000FA120DF690C5 |
:0E07F100E65D74FFF090E65F74FFF05391EF49 |
:0807FF0090E680E054F7F022BF |
:060E2200E478FFF6D8FDA4 |
:060E0700751200751300D6 |
:0A08070090E680E0FA30E71975145E |
:030811000075155A |
:03081400E1751675 |
:0308170012751740 |
:03081A00E175186D |
:03081D001C75192E |
:03082000E1751A65 |
:030823006E751BD4 |
:02082600E122CD |
:04082800751452757C |
:03082C0015E1755E |
:03082F00166475D7 |
:0308320017E17556 |
:03083500186E75C5 |
:0308380019E1754E |
:03083B001A1C750F |
:03083E001BE12299 |
:090841005391EF75A100D20232BF |
:0C084A00C021C0E0C0F0C082C083C0022A |
:0E085600C003C004C005C006C007C000C0013A |
:0E086400C0D075D0005391EF75A100120807A7 |
:0E087200D0D0D001D000D007D006D005D004E1 |
:0D088000D003D002D083D082D0F0D0E0D0E1 |
:02088D00213216 |
:0C088F00C021C0E0C0F0C082C083C002E5 |
:0E089B00C003C004C005C006C007C000C001F5 |
:0E08A900C0D075D0005391EF75A10012080762 |
:0E08B700D0D0D001D000D007D006D005D0049C |
:0D08C500D003D002D083D082D0F0D0E0D09C |
:0208D2002132D1 |
:0508D400120807751C6D |
:0308D90041751D49 |
:0808DC0008758200120C9375EF |
:0308E4001C4A7536 |
:0608E7001D0875821012CD |
:0408ED000C93751CD7 |
:0308F1008F751DE3 |
:0C08F40008758214120C9390E65C7431BD |
:02090000F022E3 |
:0D090200E582547FFA24F75004758200222C |
:07090F00BA01047582012208 |
:08091600530201E4BA000104E0 |
:03091E00F582223D |
:0E092100AA82BA010C90E6A1E0FB7C008B825A |
:03092F008C832294 |
:0E093200BA810C90E6A2E0FB7C008B828C83E5 |
:010940002294 |
:0E09410053027FEA700C90E6A0E0FB7C008B76 |
:04094F00828C8322F1 |
:0E09530090E6A3E0FB7C00EAC313FA7D00EA05 |
:090961002BFBED3C8B82F5832297 |
:0C096A00C20290E6B8E0FA530260BA0046 |
:03097600028028D4 |
:05097900BA2002800D10 |
:05097E00BA4002800EEA |
:05098300BA60028003D0 |
:03098800020C2836 |
:06098B001207C1020C2856 |
:0A099100120372E5826003020C28D5 |
:06099B001207C1020C2846 |
:0D09A10090E6B8E0FA530280BA80028003AD |
:0309AE00020B2910 |
:0B09B10090E6B9E0FABA0003020AA2C7 |
:0509BC00BA06028035BF |
:0509C100BA08028008E5 |
:0509C600BA0A028017CF |
:0309CB00020B23F9 |
:0C09CE0090E740E512F090E68AE4F0901B |
:0809DA00E68B7401F0020C2809 |
:0C09E20090E740E513F090E68AE4F09006 |
:0809EE00E68B7401F0020C28F5 |
:0A09F60090E6BBE0FABA0102801798 |
:050A0000BA020280387B |
:050A0500BA0302805954 |
:050A0A00BA0602801B8A |
:050A0F00BA0702803C63 |
:030A1400020A9C37 |
:0A0A1700AA14AB1590E6B3EBF07BD8 |
:090A21000090E6B4EAF0020C2892 |
:0A0A2A00AA16AB1790E6B3EBF07BC1 |
:090A34000090E6B4EAF0020C287F |
:0A0A3D00AA18AB1990E6B3EBF07BAA |
:090A47000090E6B4EAF0020C286C |
:0A0A5000AA1AAB1B90E6B3EBF07B93 |
:090A5A000090E6B4EAF0020C2859 |
:0E0A630090E6BAE0FA90E18EE0FBC3EA9B4019 |
:070A7100061207C1020C2868 |
:0C0A780090E6BAE075F002A4248FF5822D |
:0B0A840074E135F0F583E0FAA3E09088 |
:0D0A8F00E6B3F07B0090E6B4EAF0020C281C |
:060A9C001207C1020C2844 |
:0D0AA20090E6B8E0FA53021FBA0002800A85 |
:050AAF00BA0102801BEA |
:050AB400BA0266802C6F |
:0E0AB90090E740E4F090E741F090E68AF0907C |
:080AC700E68B7402F0020C281A |
:0E0ACF0090E740E4F090E741F090E68AF09066 |
:080ADD00E68B7402F0020C2804 |
:0E0AE50090E6BCE0F582120902E582602590E1 |
:0E0AF300E6BCE0F582120921E0FA5302019000 |
:0E0B0100E740EAF090E741E4F090E68AF090D9 |
:080B0F00E68B7402F0020C28D1 |
:060B17001207C1020C28C8 |
:060B1D001207C1020C28C2 |
:060B23001207C1020C28BC |
:0C0B290090E6B9E0FA24F45003020C2519 |
:070B3500EA2A2A900B3C7331 |
:070B3C00020C25020B7202FE |
:060B43000BED020BCE02D7 |
:060B49000C25020C250240 |
:060B4F000C25020C25023A |
:060B55000C25020B6002FA |
:050B5B000C25020B69EE |
:090B600090E6BAE0F512020C283F |
:090B690090E6BAE0F513020C2835 |
:0D0B720090E6B8E0FA53021FBA00028005B9 |
:050B7F00BA0247800AE4 |
:0A0B840090E6BAE01207C1020C2847 |
:0D0B8E0090E6BAE0703090E6BCE0F582120F |
:0D0B9B000902E582602390E6BCE0F58212BD |
:0E0BA8000921AA82AB83E0FC5304FE8A828BF3 |
:0E0BB60083ECF090E6BCE0F5821207C9806483 |
:050BC4001207C1805F73 |
:050BC9001207C1805A73 |
:0E0BCE0090E6B8E0FA53021FBA004F90E6BA64 |
:070BDC00E0FABA01028005F6 |
:050BE300BA020280408F |
:050BE8001207C1803B73 |
:0E0BED0090E6BAE0FA702C90E6BCE0F58212B9 |
:0D0BFB000902E582601A90E6BCE0F5821266 |
:0E0C08000921AA82AB83E0FC4304018A828B9F |
:050C160083ECF0800DED |
:050C1B001207C1800872 |
:050C20001207C1800372 |
:030C25001207C1F2 |
:080C280090E6A0E04480F022F8 |
:050C3000AA82BA0300D6 |
:070C35004005EA249C500178 |
:010C3C002295 |
:090C3D00740F5AFBBB030280078F |
:070C4600740F5AFBBB0B45C4 |
:0A0C4D00A2AF9203C2AFEA2400F543 |
:0C0C570082E43400F5837402F07B00742A |
:0C0C6300012AFCE43BFDEC2400F582EDCE |
:0A0C6F003400F583E51DFCF074026B |
:0C0C79002AFAE43BFBEA2400F582EB348D |
:0A0C850000F583AA1C7B00EAF0A230 |
:030C8F000392AF1E |
:010C9200223F |
:080C9300E582FA2483500122DE |
:060C9B00EA54036001228F |
:0A0CA100A2AF9204C2AFEA2400F5EE |
:0C0CAB0082E43401F5837402F07B0074D5 |
:0C0CB700012AFCE43BFDEC2400F582ED7A |
:0A0CC3003401F583E51DF074022AE8 |
:0B0CCD00FAE43BFBEA2400F582EB3464 |
:080CD80001F583AA1CEAF0A259 |
:040CE0000492AF22A9 |
:050CE400AA82BA8000A5 |
:070CE9004005EA244B500115 |
:010CF00022E1 |
:060CF100EA540360012239 |
:0A0CF700A2AF9205C2AFEA2480F517 |
:0C0D010082E43400F5837402F07B00747F |
:0C0D0D00012AFCE43BFDEC2480F582EDA3 |
:0A0D19003400F583E51DF074022A92 |
:0B0D2300FAE43BFBEA2480F582EB348D |
:080D2E0000F583AA1CEAF0A203 |
:040D36000592AF2251 |
:080D3A00C2E8C2EA751C007555 |
:060D42001D017582431241 |
:040D48000C30751CDA |
:030D4C0080751D92 |
:0C0D4F0000758253120C300090E650E456 |
:0E0D5B00F00090E652E4F00090E654E4F00060 |
:0E0D690090E656E4F00090E65174FFF0009022 |
:0E0D7700E65374FFF00090E65574FFF0009014 |
:0E0D8500E65774FFF00090E658E4F090E6594F |
:0E0D930074FFF090E65AE4F090E65B74FFF017 |
:0E0DA10090E65CE4F090E65D74FFF090E65E94 |
:0E0DAF00E4F090E65F74FFF00090E660E4F080 |
:0D0DBD000090E66174FFF090E662E4F090B3 |
:0E0DCA00E66374FFF090E665E4F090E668746E |
:0A0DD8000BF05391AFD2E8D2EA22EB |
:010DE20022EE |
:020DE300AA82E2 |
:060DE500120DE2DAFB2210 |
:030DEB0090FB502A |
:080DEE00A3E582458370F922A0 |
:040DF600AA82AB839F |
:080DFA00120DEB1ABAFF011BF8 |
:050E0200EA4B70F42230 |
:030E1500758121C3 |
:0A0E18001205DAE5826003020E10F5 |
:00000001FF |
/tools/tcl/rbbram/perf.tcl
1,6 → 1,6
# $Id: perf.tcl 465 2012-12-27 21:29:38Z mueller $ |
# $Id: perf.tcl 469 2013-01-05 12:29:44Z mueller $ |
# |
# Copyright 2011-2012 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
# Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
# |
# This program is free software; you may redistribute and/or modify it under |
# the terms of the GNU General Public License as published by the Free |
13,7 → 13,8
# |
# Revision History: |
# Date Rev Version Comment |
# 2012-12-27 495 1.0.1 adopt format, cover small ms and large kb |
# 2013-01-04 469 1.0.2 perf_blk: add optional 2nd arg: trace |
# 2012-12-27 465 1.0.1 adopt format, cover small ms and large kb |
# 2011-04-17 376 1.0 Initial version |
# |
|
23,7 → 24,7
# |
# perf_blk: determine wblk/rblk write performance |
# |
proc perf_blk {{tmax 1000}} { |
proc perf_blk {{tmax 1000} {trace 0}} { |
if {$tmax < 1} { error "-E: perf_blk: tmax argument must be >= 1" } |
|
set amax [regget rbbram::CNTL(addr) -1] |
47,6 → 48,7
set pval {} |
|
# single wblk |
if {$trace} { puts "1 wblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
60,6 → 62,7
lappend pval 1 $i $trun |
|
# double wblk |
if {$trace} { puts "2 wblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
74,6 → 77,7
lappend pval 2 $i $trun |
|
# quad wblk |
if {$trace} { puts "4 wblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
90,6 → 94,7
lappend pval 4 $i $trun |
|
# single rblk |
if {$trace} { puts "1 rblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
103,6 → 108,7
lappend pval 1 $i $trun |
|
# double rblk |
if {$trace} { puts "2 rblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
117,6 → 123,7
lappend pval 2 $i $trun |
|
# quad rblk |
if {$trace} { puts "4 rblk for $nblk" } |
set tbeg [clock clicks -milliseconds] |
set addr 0x0000 |
for {set i 1} {1} {incr i} { |
/tools/tcl/tst_rlink/test_all.tcl
1,4 → 1,4
# $Id: test_all.tcl 376 2011-04-17 12:24:07Z mueller $ |
# $Id: test_all.tcl 469 2013-01-05 12:29:44Z mueller $ |
# |
# Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
# |
13,6 → 13,7
# |
# Revision History: |
# Date Rev Version Comment |
# 2013-01-04 469 1.0.2 move rbemon tests from test_all to test_all_emon |
# 2011-04-17 376 1.0.1 add rbemon::test_rbtest_sim (if in sum mode) |
# 2011-04-02 375 1.0 Initial version |
# 2011-03-26 373 0.1 First draft |
33,12 → 34,23
incr errcnt [rbtest::test_all 0x7 0xfffc] |
incr errcnt [rbmoni::test_regs] |
incr errcnt [rbmoni::test_rbtest] |
|
puts "tst_rlink::test_all errcnt = $errcnt --> [rutil::errcnt2txt $errcnt]" |
|
return $errcnt |
} |
# |
# Driver for emon based tst_rlink tests |
# |
proc test_all_emon {} { |
# |
set errcnt 0 |
incr errcnt [rbemon::test_regs] |
if {[rlink::issim]} { |
incr errcnt [rbemon::test_rbtest_sim] |
} |
|
puts "tst_rlink::test_all errcnt = $errcnt --> [rutil::errcnt2txt $errcnt]" |
puts "tst_rlink::test_all_emon errcnt = $errcnt --> [rutil::errcnt2txt $errcnt]" |
|
return $errcnt |
} |
/tools/src/librlink/RlinkPacketBuf.cpp
1,6 → 1,6
// $Id: RlinkPacketBuf.cpp 375 2011-04-02 07:56:47Z mueller $ |
// $Id: RlinkPacketBuf.cpp 469 2013-01-05 12:29:44Z mueller $ |
// |
// Copyright 2011- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
// Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
// |
// This program is free software; you may redistribute and/or modify it under |
// the terms of the GNU General Public License as published by the Free |
13,6 → 13,7
// |
// Revision History: |
// Date Rev Version Comment |
// 2013-01-04 469 1.0.1 SndOob(): Add filler 0 to ensure escape state |
// 2011-04-02 375 1.0 Initial version |
// 2011-03-05 366 0.1 First draft |
// --------------------------------------------------------------------------- |
19,7 → 20,7
|
/*! |
\file |
\version $Id: RlinkPacketBuf.cpp 375 2011-04-02 07:56:47Z mueller $ |
\version $Id: RlinkPacketBuf.cpp 469 2013-01-05 12:29:44Z mueller $ |
\brief Implemenation of class RlinkPacketBuf. |
*/ |
|
271,6 → 272,9
fRawBuf.push_back((uint8_t)addr); // ADDR |
fRawBuf.push_back((uint8_t)(data & 0x00ff)); // DL |
fRawBuf.push_back((uint8_t)((data>>8) & 0x00ff)); // DH |
// write a filler char (just 0) to ensure that the 8b->9b stage in the |
// receiver (byte2cdata) is always out if the escape state... |
fRawBuf.push_back(0); // filler |
|
return SndRaw(port, emsg); |
} |
/tools/bin/fx2load_wrapper
1,7 → 1,7
#!/usr/bin/perl -w |
# $Id: fx2load_wrapper 457 2012-02-12 22:34:20Z mueller $ |
# $Id: fx2load_wrapper 470 2013-01-05 17:28:46Z mueller $ |
# |
# Copyright 2011-2012 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
# Copyright 2011-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
# |
# This program is free software; you may redistribute and/or modify it under |
# the terms of the GNU General Public License as published by the Free |
206,6 → 206,7
print "usage: fx2load_wrapper [--board=b] [--file=f] <opts>\n"; |
print " --help this message\n"; |
print " --dry_run print command only\n"; |
print " --force reload even when proper firmware detected\n"; |
print " --cycfx2prog use cycfx2prog instead of fxload\n"; |
print " --board=b type of board (default nexys2)\n"; |
print " --file=f ihx file to load (default 2fifo_as)\n"; |
/rtl/sys_gen/tst_fx2loop/Makefile
1,7 → 1,8
# $Id: Makefile 461 2012-04-09 21:17:54Z mueller $ |
# $Id: Makefile 470 2013-01-05 17:28:46Z mueller $ |
# |
# Revision History: |
# Date Rev Version Comment |
# 2013-01-05 470 1.2 fix LDLIBS (must come after objs) |
# 2012-02-26 458 1.1 add tst_fx2loop_si |
# 2011-12-26 445 1.0 Initial version |
# |
15,16 → 16,19
all : tst_fx2loop tst_fx2loop_si |
# |
clean : ise_clean |
rm -f tst_fx2loop |
rm -f tst_fx2loop_si |
# |
realclean : |
rm -f tst_fx2loop tst_fx2loop_si |
# |
CFLAGS = -Wall -O2 -g -lusb-1.0 |
CFLAGS = -Wall -O2 -g |
LDLIBS = -lusb-1.0 |
# |
tst_fx2loop : tst_fx2loop.c |
${CC} ${CFLAGS} -o tst_fx2loop tst_fx2loop.c |
${CC} ${CFLAGS} -o tst_fx2loop tst_fx2loop.c ${LDLIBS} |
tst_fx2loop_si : tst_fx2loop_si.c |
${CC} ${CFLAGS} -o tst_fx2loop_si tst_fx2loop_si.c |
${CC} ${CFLAGS} -o tst_fx2loop_si tst_fx2loop_si.c ${LDLIBS} |
# |
#---- |
# |
/rtl/sys_gen/tst_rlink_cuff/nexys2/sys_tst_rlink_cuff_n2.vhd
1,4 → 1,4
-- $Id: sys_tst_rlink_cuff_n2.vhd 467 2013-01-02 19:49:05Z mueller $ |
-- $Id: sys_tst_rlink_cuff_n2.vhd 469 2013-01-05 12:29:44Z mueller $ |
-- |
-- Copyright 2012-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
32,6 → 32,7
-- |
-- Synthesized (xst): |
-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz |
-- 2013-01-04 469 13.3 O76d xc3s1200e-4 846 1798 160 1215 p 16.3 ic2/ 50 |
-- 2012-12-29 466 13.3 O76d xc3s1200e-4 808 1739 160 1172 p 16.3 as2/ 50 |
-- 2013-01-02 467 13.3 O76d xc3s1200e-4 843 1792 160 1209 p 15.2 ic2/ 50 |
-- 2012-12-29 466 13.3 O76d xc3s1200e-4 863 1850 192 1266 p 13.6 ic3/ 50 |
/rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/sys_tst_rlink_cuff_ic_n2.ucf_cpp
0,0 → 1,7
link ../sys_tst_rlink_cuff_ic_n2.ucf_cpp |
rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/sys_tst_rlink_cuff_ic_n2.ucf_cpp
Property changes :
Added: svn:special
## -0,0 +1 ##
+*
\ No newline at end of property
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tbw.dat
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tbw.dat (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tbw.dat (revision 18)
@@ -0,0 +1,6 @@
+# $Id: tbw.dat 467 2013-01-02 19:49:05Z mueller $
+#
+[tb_tst_rlink_cuff_ic_n2]
+rlink_cext_fifo_rx =
+rlink_cext_fifo_tx =
+rlink_cext_conf =
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2_ssim.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2_ssim.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2_ssim.vbom (revision 18)
@@ -0,0 +1,6 @@
+# configure for _*sim case
+# Note: this tb uses sys_tst_rlink_n2.vbom in local directory
+# (not in .. as usual) to allow a tb specific configure !!!
+nexys2_aif = sys_tst_rlink_cuff_ic_n2_ssim.vhd
+tb_tst_rlink_cuff_ic_n2.vbom
+@top:tb_tst_rlink_cuff_ic_n2
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vhd (revision 18)
@@ -0,0 +1,40 @@
+-- $Id: tb_tst_rlink_cuff_ic_n2.vhd 467 2013-01-02 19:49:05Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: tb_tst_rlink_cuff_ic_n2
+-- Description: Configuration for tb_tst_rlink_cuff_ic_n2 for
+-- tb_nexys2_fusp_cuff
+--
+-- Dependencies: sys_tst_rlink_cuff_n2 (fx2_type = 'ic2')
+--
+-- To test: sys_tst_rlink_cuff_n2 (fx2_type = 'ic2')
+--
+-- Verified:
+-- Date Rev Code ghdl ise Target Comment
+-- 2013-01-xx xxx - 0.29 12.1 M53d xc3s1200e u:???
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-01 467 1.0 Initial version
+------------------------------------------------------------------------------
+
+configuration tb_tst_rlink_cuff_ic_n2 of tb_nexys2_fusp_cuff is
+
+ for sim
+ for all : nexys2_fusp_cuff_aif
+ use entity work.sys_tst_rlink_cuff_n2;
+ end for;
+ end for;
+
+end tb_tst_rlink_cuff_ic_n2;
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/sys_conf_sim.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/sys_conf_sim.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/sys_conf_sim.vhd (revision 18)
@@ -0,0 +1,60 @@
+-- $Id: sys_conf_sim.vhd 467 2013-01-02 19:49:05Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Package Name: sys_conf
+-- Description: Definitions for sys_tst_rlink_cuff_ic_n2 (for simulation)
+--
+-- Dependencies: -
+-- Tool versions: xst 13.3; ghdl 0.29
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-01 467 1.0 Initial version
+------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.slvtypes.all;
+
+package sys_conf is
+
+ constant sys_conf_clkfx_divide : positive := 1;
+ constant sys_conf_clkfx_multiply : positive := 1;
+
+ constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
+
+ constant sys_conf_hio_debounce : boolean := false; -- no debouncers
+
+ constant sys_conf_fx2_type : string := "ic2";
+
+ -- dummy values defs for generic parameters of as controller
+ constant sys_conf_fx2_rdpwldelay : positive := 1;
+ constant sys_conf_fx2_rdpwhdelay : positive := 1;
+ constant sys_conf_fx2_wrpwldelay : positive := 1;
+ constant sys_conf_fx2_wrpwhdelay : positive := 1;
+ constant sys_conf_fx2_flagdelay : positive := 1;
+
+ -- pktend timer setting
+ -- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation)
+ constant sys_conf_fx2_petowidth : positive := 10;
+
+ constant sys_conf_fx2_ccwidth : positive := 5;
+
+ -- derived constants
+
+ constant sys_conf_clksys : integer :=
+ (50000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply;
+ constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
+
+end package sys_conf;
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2.vbom (revision 18)
@@ -0,0 +1,7 @@
+# configure tb_nexsy2_fusp with sys_tst_rlink_n2 target;
+# use vhdl configure file (tb_tst_rlink_cuff_ic_n2.vhd) to allow
+# that all configurations will co-exist in work library
+${nexys2_fusp_cuff_aif := ../sys_tst_rlink_cuff_ic_n2.vbom}
+sys_conf = sys_conf_sim.vhd
+../../../../../bplib/nexys2/tb/tb_nexys2_fusp_cuff.vbom
+tb_tst_rlink_cuff_ic_n2.vhd
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/.cvsignore
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/.cvsignore (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/.cvsignore (revision 18)
@@ -0,0 +1,6 @@
+tb_tst_rlink_cuff_ic_n2
+tb_tst_rlink_cuff_ic_n2_[sft]sim
+rlink_cext_fifo_rx
+rlink_cext_fifo_tx
+rlink_cext_conf
+*.dep_ucf_cpp
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/Makefile
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/Makefile (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/Makefile (revision 18)
@@ -0,0 +1,30 @@
+# $Id: Makefile 467 2013-01-02 19:49:05Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2013-01-01 467 1.0 Initial version
+#
+EXE_all = tb_tst_rlink_cuff_ic_n2
+#
+ISE_PATH = xc3s1200e-fg320-4
+#
+.PHONY : all all_ssim all_tsim clean
+#
+all : $(EXE_all)
+all_ssim : $(EXE_all:=_ssim)
+all_tsim : $(EXE_all:=_tsim)
+#
+clean : ise_clean ghdl_clean
+ rm -f sys_tst_rlink_cuff_ic_n2.ucf
+#
+#-----
+#
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+#
+VBOM_all = $(wildcard *.vbom)
+#
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+include $(wildcard *.o.dep_ghdl)
+#
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb (revision 18)
rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb
Property changes :
Added: svn:ignore
## -0,0 +1,38 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+tb_tst_rlink_cuff_ic_n2
+tb_tst_rlink_cuff_ic_n2_[sft]sim
+rlink_cext_fifo_rx
+rlink_cext_fifo_tx
+rlink_cext_conf
+*.dep_ucf_cpp
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_conf.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_conf.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_conf.vhd (revision 18)
@@ -0,0 +1,62 @@
+-- $Id: sys_conf.vhd 466 2012-12-30 13:26:55Z mueller $
+--
+-- Copyright 2012- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Package Name: sys_conf
+-- Description: Definitions for sys_tst_rlink_cuff_ic3_n2 (for synthesis)
+--
+-- Dependencies: -
+-- Tool versions: xst 13.3; ghdl 0.29
+-- Revision History:
+-- Date Rev Version Comment
+-- 2012-12-29 466 1.0 Initial version
+------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.slvtypes.all;
+
+package sys_conf is
+
+ constant sys_conf_clkfx_divide : positive := 1;
+ constant sys_conf_clkfx_multiply : positive := 1;
+
+ constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
+ constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
+
+ constant sys_conf_fx2_type : string := "ic3";
+
+ -- dummy values defs for generic parameters of as controller
+ constant sys_conf_fx2_rdpwldelay : positive := 1;
+ constant sys_conf_fx2_rdpwhdelay : positive := 1;
+ constant sys_conf_fx2_wrpwldelay : positive := 1;
+ constant sys_conf_fx2_wrpwhdelay : positive := 1;
+ constant sys_conf_fx2_flagdelay : positive := 1;
+
+ -- pktend timer setting
+ -- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation)
+ constant sys_conf_fx2_petowidth : positive := 10;
+
+ constant sys_conf_fx2_ccwidth : positive := 5;
+
+ -- derived constants
+
+ constant sys_conf_clksys : integer :=
+ (50000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply;
+ constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
+
+ constant sys_conf_ser2rri_cdinit : integer :=
+ (sys_conf_clksys/sys_conf_ser2rri_defbaud)-1;
+
+end package sys_conf;
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.mfset
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.mfset (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.mfset (revision 18)
@@ -0,0 +1,97 @@
+# $Id: sys_tst_rlink_cuff_ic3_n2.mfset 469 2013-01-05 12:29:44Z mueller $
+#
+# ----------------------------------------------------------------------------
+[xst]
+INFO:.*Mux is complete : default of case is discarded
+
+Unconnected output port 'SIZE' of component 'fifo_1c_dram'
+Unconnected output port 'LOCKED' of component 'dcm_sfs'
+Unconnected output port 'DOA' of component 'ram_1swar_1ar_gen'
+Unconnected output port 'DOB' of component 'ram_2swsr_wfirst_gen'
+Unconnected output port 'RL_MONI' of component 'rlink_core8'
+
+Input is never used
+Input > is never used
+Input is never used
+Input is never used
+Input > is never used
+Input > is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+
+Output is never assigned
+
+Signal > is assigned but never used
+Signal is assigned but never used
+Signal > is assigned but never used
+Signal > is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+Signal > is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+Signal is assigned but never used
+
+Signal is used but never assigned
+Signal is used but never assigned
+
+FF/Latch in Unit is equivalent
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value of 0
+FF/Latch has a constant value of 0
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+FF/Latch has a constant value
+
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+
+Node of sequential type is unconnected
+
+RAMs , are equivalent
+RAMs , are equivalent
+
+#
+# ----------------------------------------------------------------------------
+[tra]
+
+#
+# ----------------------------------------------------------------------------
+[map]
+The signal is incomplete
+INFO:.*
+
+#
+# ----------------------------------------------------------------------------
+[par]
+The signal I_MEM_WAIT_IBUF has no load
+There are 1 loadless signals in this design
+This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint was applied
+#
+# ----------------------------------------------------------------------------
+[bgn]
+Spartan-3 1200E and 1600E devices do not support bitstream
+The signal is incomplete
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.ucf_cpp
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.ucf_cpp (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.ucf_cpp (revision 18)
@@ -0,0 +1,22 @@
+## $Id: sys_tst_rlink_cuff_ic3_n2.ucf_cpp 466 2012-12-30 13:26:55Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2012-12-29 466 1.0 Initial version
+##
+
+NET "I_CLK50" TNM_NET = "I_CLK50";
+TIMESPEC "TS_I_CLK50" = PERIOD "I_CLK50" 20 ns HIGH 50 %;
+OFFSET = IN 10 ns BEFORE "I_CLK50";
+OFFSET = OUT 20 ns AFTER "I_CLK50";
+
+#include "bplib/nexys2/nexys2_pins.ucf"
+##
+## Pmod B0 - RS232
+##
+#include "bplib/nexys2/nexys2_pins_pmb0_rs232.ucf"
+##
+## Cypress FX2
+##
+#include "bplib/nexys2/nexys2_pins_fx2.ucf"
+#include "bplib/nexys2/nexys2_time_fx2_ic.ucf"
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/sys_tst_rlink_cuff_ic3_n2.vbom (revision 18)
@@ -0,0 +1,8 @@
+# conf
+sys_conf = sys_conf.vhd
+# libs
+# components
+# design
+../sys_tst_rlink_cuff_n2.vbom
+@ucf_cpp: sys_tst_rlink_cuff_ic3_n2.ucf
+@top: sys_tst_rlink_cuff_n2
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/Makefile
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/Makefile (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/Makefile (revision 18)
@@ -0,0 +1,29 @@
+# $Id: Makefile 466 2012-12-30 13:26:55Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2012-12-29 466 1.0 Initial version
+#
+#
+VBOM_all = $(wildcard *.vbom)
+BIT_all = $(VBOM_all:.vbom=.bit)
+#
+ISE_BOARD = nexys2
+ISE_PATH = xc3s1200e-fg320-4
+FX2_FILE = nexys2_jtag_3fifo_ic.ihx
+#
+.PHONY : all clean
+#
+all : $(BIT_all)
+#
+clean : ise_clean
+ rm -f $(VBOM_all:.vbom=.ucf)
+#
+#----
+#
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+#
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+#
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/.cvsignore
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/.cvsignore (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3/.cvsignore (revision 18)
@@ -0,0 +1,4 @@
+_impactbatch.log
+sys_tst_rlink_cuff_ic3_n2.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/nexys2/ic3
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys2/ic3 (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys2/ic3 (revision 18)
rtl/sys_gen/tst_rlink_cuff/nexys2/ic3
Property changes :
Added: svn:ignore
## -0,0 +1,36 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+_impactbatch.log
+sys_tst_rlink_cuff_ic3_n2.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vhd (revision 18)
@@ -0,0 +1,382 @@
+-- $Id: sys_tst_rlink_cuff_n3.vhd 469 2013-01-05 12:29:44Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: sys_tst_rlink_cuff_n3 - syn
+-- Description: rlink tester design for nexys3 with fx2 interface
+--
+-- Dependencies: vlib/xlib/dcm_sfs
+-- vlib/genlib/clkdivce
+-- bplib/bpgen/bp_rs232_2l4l_iob
+-- bplib/bpgen/sn_humanio_rbus
+-- bplib/fx2lib/fx2_2fifoctl_as [sys_conf_fx2_type="as2"]
+-- bplib/fx2lib/fx2_2fifoctl_ic [sys_conf_fx2_type="ic2"]
+-- bplib/fx2lib/fx2_3fifoctl_ic [sys_conf_fx2_type="ic3"]
+-- tst_rlink_cuff
+-- bplib/nxcramlib/nx_cram_dummy
+--
+-- Test bench: -
+--
+-- Target Devices: generic
+-- Tool versions: xst 13.3; ghdl 0.29
+--
+-- Synthesized (xst):
+-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz
+-- 2013-01-04 469 13.3 O76d xc3s1200e-4 ??? ???? ??? ???? p ??.? ic2/ 50
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2012-12-29 466 1.0 Initial version; derived from sys_tst_rlink_cuff_n2
+-- and sys_tst_fx2loop_n3
+------------------------------------------------------------------------------
+-- Usage of Nexys 3 Switches, Buttons, LEDs:
+--
+-- SWI(7:3) no function (only connected to sn_humanio_rbus)
+-- (2) 0 -> int/ext RS242 port for rlink
+-- 1 -> use USB interface for rlink
+-- (1) 1 enable XON
+-- (0) 0 -> main board RS232 port - implemented in bp_rs232_2l4l_iob
+-- 1 -> Pmod B/top RS232 port /
+--
+-- LED(7) SER_MONI.abact
+-- (6:2) no function (only connected to sn_humanio_rbus)
+-- (0) timer 0 busy
+-- (1) timer 1 busy
+--
+-- DSP: SER_MONI.clkdiv (from auto bauder)
+-- for SWI(2)='0' (serport)
+-- DP(3) not SER_MONI.txok (shows tx back preasure)
+-- (2) SER_MONI.txact (shows tx activity)
+-- (1) not SER_MONI.rxok (shows rx back preasure)
+-- (0) SER_MONI.rxact (shows rx activity)
+-- for SWI(2)='1' (fx2)
+-- DP(3) FX2_TX2BUSY (shows tx2 back preasure)
+-- (2) FX2_TX2ENA(stretched) (shows tx2 activity)
+-- (1) FX2_TXENA(streched) (shows tx activity)
+-- (0) FX2_RXVAL(stretched) (shows rx activity)
+--
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+use work.slvtypes.all;
+use work.xlib.all;
+use work.genlib.all;
+use work.bpgenlib.all;
+use work.rblib.all;
+use work.fx2lib.all;
+use work.nxcramlib.all;
+use work.sys_conf.all;
+
+-- ----------------------------------------------------------------------------
+
+entity sys_tst_rlink_cuff_n3 is -- top level
+ -- implements nexys3_fusp_cuff_aif
+ port (
+ I_CLK100 : in slbit; -- 100 MHz clock
+ I_RXD : in slbit; -- receive data (board view)
+ O_TXD : out slbit; -- transmit data (board view)
+ I_SWI : in slv8; -- n3 switches
+ I_BTN : in slv5; -- n3 buttons
+ O_LED : out slv8; -- n3 leds
+ O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
+ O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
+ O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
+ O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
+ O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
+ O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
+ O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
+ O_MEM_CLK : out slbit; -- cram: clock
+ O_MEM_CRE : out slbit; -- cram: command register enable
+ I_MEM_WAIT : in slbit; -- cram: mem wait
+ O_MEM_ADDR : out slv23; -- cram: address lines
+ IO_MEM_DATA : inout slv16; -- cram: data lines
+ O_PPCM_CE_N : out slbit; -- ppcm: ...
+ O_PPCM_RST_N : out slbit; -- ppcm: ...
+ O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n
+ I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n
+ I_FUSP_RXD : in slbit; -- fusp: rs232 rx
+ O_FUSP_TXD : out slbit; -- fusp: rs232 tx
+ I_FX2_IFCLK : in slbit; -- fx2: interface clock
+ O_FX2_FIFO : out slv2; -- fx2: fifo address
+ I_FX2_FLAG : in slv4; -- fx2: fifo flags
+ O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
+ O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
+ O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
+ O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
+ IO_FX2_DATA : inout slv8 -- fx2: data lines
+ );
+end sys_tst_rlink_cuff_n3;
+
+architecture syn of sys_tst_rlink_cuff_n3 is
+
+ signal CLK : slbit := '0';
+ signal RESET : slbit := '0';
+
+ signal CE_USEC : slbit := '0';
+ signal CE_MSEC : slbit := '0';
+
+ signal RXSD : slbit := '0';
+ signal TXSD : slbit := '0';
+ signal CTS_N : slbit := '0';
+ signal RTS_N : slbit := '0';
+
+ signal SWI : slv8 := (others=>'0');
+ signal BTN : slv5 := (others=>'0');
+ signal LED : slv8 := (others=>'0');
+ signal DSP_DAT : slv16 := (others=>'0');
+ signal DSP_DP : slv4 := (others=>'0');
+
+ signal RB_MREQ : rb_mreq_type := rb_mreq_init;
+ signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
+
+ signal FX2_RXDATA : slv8 := (others=>'0');
+ signal FX2_RXVAL : slbit := '0';
+ signal FX2_RXHOLD : slbit := '0';
+ signal FX2_RXAEMPTY : slbit := '0';
+ signal FX2_TXDATA : slv8 := (others=>'0');
+ signal FX2_TXENA : slbit := '0';
+ signal FX2_TXBUSY : slbit := '0';
+ signal FX2_TXAFULL : slbit := '0';
+ signal FX2_TX2DATA : slv8 := (others=>'0');
+ signal FX2_TX2ENA : slbit := '0';
+ signal FX2_TX2BUSY : slbit := '0';
+ signal FX2_TX2AFULL : slbit := '0';
+ signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init;
+
+ constant rbaddr_hio : slv8 := "11000000"; -- 110000xx
+
+begin
+
+ assert (sys_conf_clksys mod 1000000) = 0
+ report "assert sys_conf_clksys on MHz grid"
+ severity failure;
+
+ DCM : dcm_sfs
+ generic map (
+ CLKFX_DIVIDE => sys_conf_clkfx_divide,
+ CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
+ CLKIN_PERIOD => 10.0)
+ port map (
+ CLKIN => I_CLK100,
+ CLKFX => CLK,
+ LOCKED => open
+ );
+
+ CLKDIV : clkdivce
+ generic map (
+ CDUWIDTH => 7, -- good for up to 127 MHz !
+ USECDIV => sys_conf_clksys_mhz,
+ MSECDIV => 1000)
+ port map (
+ CLK => CLK,
+ CE_USEC => CE_USEC,
+ CE_MSEC => CE_MSEC
+ );
+
+ IOB_RS232 : bp_rs232_2l4l_iob
+ port map (
+ CLK => CLK,
+ RESET => '0',
+ SEL => SWI(0),
+ RXD => RXSD,
+ TXD => TXSD,
+ CTS_N => CTS_N,
+ RTS_N => RTS_N,
+ I_RXD0 => I_RXD,
+ O_TXD0 => O_TXD,
+ I_RXD1 => I_FUSP_RXD,
+ O_TXD1 => O_FUSP_TXD,
+ I_CTS1_N => I_FUSP_CTS_N,
+ O_RTS1_N => O_FUSP_RTS_N
+ );
+
+ HIO : sn_humanio_rbus
+ generic map (
+ BWIDTH => 5,
+ DEBOUNCE => sys_conf_hio_debounce,
+ RB_ADDR => rbaddr_hio)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ CE_MSEC => CE_MSEC,
+ RB_MREQ => RB_MREQ,
+ RB_SRES => RB_SRES_HIO,
+ SWI => SWI,
+ BTN => BTN,
+ LED => LED,
+ DSP_DAT => DSP_DAT,
+ DSP_DP => DSP_DP,
+ I_SWI => I_SWI,
+ I_BTN => I_BTN,
+ O_LED => O_LED,
+ O_ANO_N => O_ANO_N,
+ O_SEG_N => O_SEG_N
+ );
+
+ FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
+ CNTL : fx2_2fifoctl_as
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ RDPWLDELAY => sys_conf_fx2_rdpwldelay,
+ RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
+ WRPWLDELAY => sys_conf_fx2_wrpwldelay,
+ WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
+ FLAGDELAY => sys_conf_fx2_flagdelay)
+ port map (
+ CLK => CLK,
+ CE_USEC => CE_USEC,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_AS;
+
+ FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
+ CNTL : fx2_2fifoctl_ic
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_IC;
+
+ FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
+ CNTL : fx2_3fifoctl_ic
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1,
+ TX2AFULL_THRES => 1)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ TX2DATA => FX2_TX2DATA,
+ TX2ENA => FX2_TX2ENA,
+ TX2BUSY => FX2_TX2BUSY,
+ TX2AFULL => FX2_TX2AFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_IC3;
+
+ TST : entity work.tst_rlink_cuff
+ port map (
+ CLK => CLK,
+ RESET => '0',
+ CE_USEC => CE_USEC,
+ CE_MSEC => CE_MSEC,
+ RB_MREQ_TOP => RB_MREQ,
+ RB_SRES_TOP => RB_SRES_HIO,
+ SWI => SWI,
+ BTN => BTN(3 downto 0),
+ LED => LED,
+ DSP_DAT => DSP_DAT,
+ DSP_DP => DSP_DP,
+ RXSD => RXSD,
+ TXSD => TXSD,
+ RTS_N => RTS_N,
+ CTS_N => CTS_N,
+ FX2_RXDATA => FX2_RXDATA,
+ FX2_RXVAL => FX2_RXVAL,
+ FX2_RXHOLD => FX2_RXHOLD,
+ FX2_TXDATA => FX2_TXDATA,
+ FX2_TXENA => FX2_TXENA,
+ FX2_TXBUSY => FX2_TXBUSY,
+ FX2_TX2DATA => FX2_TX2DATA,
+ FX2_TX2ENA => FX2_TX2ENA,
+ FX2_TX2BUSY => FX2_TX2BUSY,
+ FX2_MONI => FX2_MONI
+ );
+
+ SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy
+ port map (
+ O_MEM_CE_N => O_MEM_CE_N,
+ O_MEM_BE_N => O_MEM_BE_N,
+ O_MEM_WE_N => O_MEM_WE_N,
+ O_MEM_OE_N => O_MEM_OE_N,
+ O_MEM_ADV_N => O_MEM_ADV_N,
+ O_MEM_CLK => O_MEM_CLK,
+ O_MEM_CRE => O_MEM_CRE,
+ I_MEM_WAIT => I_MEM_WAIT,
+ O_MEM_ADDR => O_MEM_ADDR,
+ IO_MEM_DATA => IO_MEM_DATA
+ );
+
+ O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled
+ O_PPCM_RST_N <= '1'; --
+
+end syn;
+
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.mfset
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.mfset (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.mfset (revision 18)
@@ -0,0 +1,100 @@
+# $Id: sys_tst_rlink_cuff_ic_n3.mfset 472 2013-01-06 14:39:10Z mueller $
+#
+# ----------------------------------------------------------------------------
+[xst]
+Case statement is complete. others clause is never selected
+Using initial value '0' for reset since it is never assigned
+Using initial value '0' for fx2_tx2ena_l since it is never assigned
+
+Net does not have a driver.
+
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected
+
+Signal is used but never assigned
+
+Signal 'FX2_TX2BUSY', unconnected in block 'sys_tst_rlink_cuff_n3'
+
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+ode of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+
+Input is never used
+Input > is never used
+Input is never used
+Input > is never used
+Input > is never used
+Input > is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+FF/Latch has a constant value
+
+of type RAMB16_S18 has been replaced by RAMB16BWER
+of type RAMB16_S36 has been replaced by RAMB16BWER
+of type RAMB16_S36_S36 has been replaced by RAMB16BWER
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+
+The FF/Latch .* is equivalent
+The FF/Latch .* is equivalent
+The FF/Latch .* is the opposite
+
+#
+# ----------------------------------------------------------------------------
+[tra]
+
+#
+# ----------------------------------------------------------------------------
+[map]
+INFO:.*
+
+#
+# ----------------------------------------------------------------------------
+[par]
+The signal I_MEM_WAIT_IBUF has no load
+The signal I_FX2_FLAG<3>_IBUF has no load
+There are 2 loadless signals in this design
+
+#
+# ----------------------------------------------------------------------------
+[bgn]
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.ucf_cpp (revision 18)
@@ -0,0 +1,24 @@
+## $Id: sys_tst_rlink_cuff_ic_n3.ucf_cpp 469 2013-01-05 12:29:44Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2013-01-04 469 1.0 Initial version
+##
+
+NET "I_CLK100" TNM_NET = "I_CLK100";
+TIMESPEC "TS_I_CLK100" = PERIOD "I_CLK100" 10.0 ns HIGH 50 %;
+OFFSET = IN 10 ns BEFORE "I_CLK100";
+OFFSET = OUT 20 ns AFTER "I_CLK100";
+
+## std board
+##
+#include "bplib/nexys3/nexys3_pins.ucf"
+##
+## Pmod B0 - RS232
+##
+#include "bplib/nexys3/nexys3_pins_pmb0_rs232.ucf"
+##
+## FX2 interface
+##
+#include "bplib/nexys3/nexys3_pins_fx2.ucf"
+#include "bplib/nexys3/nexys3_time_fx2_ic.ucf"
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_conf.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_conf.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_conf.vhd (revision 18)
@@ -0,0 +1,62 @@
+-- $Id: sys_conf.vhd 469 2013-01-05 12:29:44Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Package Name: sys_conf
+-- Description: Definitions for sys_tst_rlink_cuff_ic_n3 (for synthesis)
+--
+-- Dependencies: -
+-- Tool versions: xst 13.3; ghdl 0.29
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-04 469 1.0 Initial version
+------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.slvtypes.all;
+
+package sys_conf is
+
+ constant sys_conf_clkfx_divide : positive := 1;
+ constant sys_conf_clkfx_multiply : positive := 1;
+
+ constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
+ constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
+
+ constant sys_conf_fx2_type : string := "ic2";
+
+ -- dummy values defs for generic parameters of as controller
+ constant sys_conf_fx2_rdpwldelay : positive := 1;
+ constant sys_conf_fx2_rdpwhdelay : positive := 1;
+ constant sys_conf_fx2_wrpwldelay : positive := 1;
+ constant sys_conf_fx2_wrpwhdelay : positive := 1;
+ constant sys_conf_fx2_flagdelay : positive := 1;
+
+ -- pktend timer setting
+ -- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation)
+ constant sys_conf_fx2_petowidth : positive := 10;
+
+ constant sys_conf_fx2_ccwidth : positive := 5;
+
+ -- derived constants
+
+ constant sys_conf_clksys : integer :=
+ (100000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply;
+ constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
+
+ constant sys_conf_ser2rri_cdinit : integer :=
+ (sys_conf_clksys/sys_conf_ser2rri_defbaud)-1;
+
+end package sys_conf;
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/sys_tst_rlink_cuff_ic_n3.vbom (revision 18)
@@ -0,0 +1,8 @@
+# conf
+sys_conf = sys_conf.vhd
+# libs
+# components
+# design
+../sys_tst_rlink_cuff_n3.vbom
+@ucf_cpp: sys_tst_rlink_cuff_ic_n3.ucf
+@top: sys_tst_rlink_cuff_n3
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/Makefile
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/Makefile (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/Makefile (revision 18)
@@ -0,0 +1,32 @@
+# $Id: Makefile 469 2013-01-05 12:29:44Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2013-01-04 469 1.0 Initial version
+#
+#
+VBOM_all = $(wildcard *.vbom)
+BIT_all = $(VBOM_all:.vbom=.bit)
+#
+ISE_BOARD = nexys3
+ISE_PATH = xc6slx16-csg324-2
+FX2_FILE = nexys3_jtag_2fifo_ic.ihx
+#
+XFLOWOPT_SYN = syn_s6_speed.opt
+XFLOWOPT_IMP = imp_s6_speed.opt
+#
+.PHONY : all clean
+#
+all : $(BIT_all)
+#
+clean : ise_clean
+ rm -f $(VBOM_all:.vbom=.ucf)
+#
+#----
+#
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+#
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+#
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic/.cvsignore
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic/.cvsignore (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic/.cvsignore (revision 18)
@@ -0,0 +1,4 @@
+_impactbatch.log
+sys_tst_rlink_cuff_ic_n3.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/ic
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/ic (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/ic (revision 18)
rtl/sys_gen/tst_rlink_cuff/nexys3/ic
Property changes :
Added: svn:ignore
## -0,0 +1,36 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+_impactbatch.log
+sys_tst_rlink_cuff_ic_n3.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3/sys_tst_rlink_cuff_n3.vbom (revision 18)
@@ -0,0 +1,30 @@
+# this is the vbom for the 'generic' top level entity
+# to be referenced in the vbom's of the specific systems
+# ./as/sys_tst_rlink_cuff_as_n3
+# ./ic/sys_tst_rlink_cuff_ic_n3
+# ./ic3/sys_tst_rlink_cuff_ic3_n3
+#
+# libs
+../../../vlib/slvtypes.vhd
+../../../vlib/xlib/xlib.vhd
+../../../vlib/genlib/genlib.vhd
+../../../bplib/bpgen/bpgenlib.vbom
+../../../vlib/rbus/rblib.vhd
+../../../bplib/fx2lib/fx2lib.vhd
+../../../bplib/nxcramlib/nxcramlib.vhd
+${sys_conf}
+# components
+[xst,isim]../../../vlib/xlib/dcm_sfs_unisim_s3e.vbom
+[ghdl]../../../vlib/xlib/dcm_sfs_gsim.vbom
+../../../vlib/genlib/clkdivce.vbom
+../../../bplib/bpgen/bp_rs232_2l4l_iob.vbom
+../../../bplib/bpgen/sn_humanio_rbus.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_as.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_ic.vbom
+../../../bplib/fx2lib/fx2_3fifoctl_ic.vbom
+../tst_rlink_cuff.vbom
+../../../bplib/nxcramlib/nx_cram_dummy.vbom
+# design
+sys_tst_rlink_cuff_n3.vhd
+## no @ucf_cpp
+
Index: rtl/sys_gen/tst_rlink_cuff/nexys3
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/nexys3 (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/nexys3 (revision 18)
rtl/sys_gen/tst_rlink_cuff/nexys3
Property changes :
Added: svn:ignore
## -0,0 +1,32 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
Index: rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vhd (revision 18)
@@ -0,0 +1,346 @@
+-- $Id: sys_tst_rlink_cuff_atlys.vhd 472 2013-01-06 14:39:10Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: sys_tst_rlink_cuff_atlys - syn
+-- Description: rlink tester design for atlys with fx2 interface
+--
+-- Dependencies: vlib/xlib/dcm_sfs
+-- vlib/genlib/clkdivce
+-- bplib/bpgen/bp_rs232_2l4l_iob
+-- bplib/bpgen/sn_humanio_rbus
+-- bplib/fx2lib/fx2_2fifoctl_as [sys_conf_fx2_type="as2"]
+-- bplib/fx2lib/fx2_2fifoctl_ic [sys_conf_fx2_type="ic2"]
+-- bplib/fx2lib/fx2_3fifoctl_ic [sys_conf_fx2_type="ic3"]
+-- tst_rlink_cuff
+--
+-- Test bench: -
+--
+-- Target Devices: generic
+-- Tool versions: xst 13.3; ghdl 0.29
+--
+-- Synthesized (xst):
+-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz
+-- 2013-01-06 472 13.3 O76d xc6slx45 ??? ???? ??? ???? p ??.? ic2/100
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-06 472 1.0 Initial version; derived from sys_tst_rlink_cuff_n3
+-- and sys_tst_fx2loop_atlys
+------------------------------------------------------------------------------
+-- Usage of Atlys Switches, Buttons, LEDs:
+--
+-- SWI(7:3) no function (only connected to sn_humanio_rbus)
+-- (2) 0 -> int/ext RS242 port for rlink
+-- 1 -> use USB interface for rlink
+-- (1) 1 enable XON
+-- (0) 0 -> main board RS232 port - implemented in bp_rs232_2l4l_iob
+-- 1 -> Pmod B/top RS232 port /
+--
+-- LED(7) SER_MONI.abact
+-- (6:2) no function (only connected to sn_humanio_rbus)
+-- (0) timer 0 busy
+-- (1) timer 1 busy
+--
+-- DSP: SER_MONI.clkdiv (from auto bauder)
+-- for SWI(2)='0' (serport)
+-- DP(3) not SER_MONI.txok (shows tx back preasure)
+-- (2) SER_MONI.txact (shows tx activity)
+-- (1) not SER_MONI.rxok (shows rx back preasure)
+-- (0) SER_MONI.rxact (shows rx activity)
+-- for SWI(2)='1' (fx2)
+-- DP(3) FX2_TX2BUSY (shows tx2 back preasure)
+-- (2) FX2_TX2ENA(stretched) (shows tx2 activity)
+-- (1) FX2_TXENA(streched) (shows tx activity)
+-- (0) FX2_RXVAL(stretched) (shows rx activity)
+--
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+use work.slvtypes.all;
+use work.xlib.all;
+use work.genlib.all;
+use work.bpgenlib.all;
+use work.rblib.all;
+use work.fx2lib.all;
+use work.sys_conf.all;
+
+-- ----------------------------------------------------------------------------
+
+entity sys_tst_rlink_cuff_atlys is -- top level
+ -- implements atlys_fusp_cuff_aif
+ port (
+ I_CLK100 : in slbit; -- 100 MHz clock
+ I_USB_RXD : in slbit; -- USB UART receive data (board view)
+ O_USB_TXD : out slbit; -- USB UART transmit data (board view)
+ I_HIO_SWI : in slv8; -- atlys hio switches
+ I_HIO_BTN : in slv6; -- atlys hio buttons
+ O_HIO_LED: out slv8; -- atlys hio leds
+ O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n
+ I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n
+ I_FUSP_RXD : in slbit; -- fusp: rs232 rx
+ O_FUSP_TXD : out slbit; -- fusp: rs232 tx
+ I_FX2_IFCLK : in slbit; -- fx2: interface clock
+ O_FX2_FIFO : out slv2; -- fx2: fifo address
+ I_FX2_FLAG : in slv4; -- fx2: fifo flags
+ O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
+ O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
+ O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
+ O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
+ IO_FX2_DATA : inout slv8 -- fx2: data lines
+ );
+end sys_tst_rlink_cuff_atlys;
+
+architecture syn of sys_tst_rlink_cuff_atlys is
+
+ signal CLK : slbit := '0';
+ signal RESET : slbit := '0';
+
+ signal CE_USEC : slbit := '0';
+ signal CE_MSEC : slbit := '0';
+
+ signal RXSD : slbit := '0';
+ signal TXSD : slbit := '0';
+ signal CTS_N : slbit := '0';
+ signal RTS_N : slbit := '0';
+
+ signal SWI : slv8 := (others=>'0');
+ signal BTN : slv4 := (others=>'0');
+ signal LED : slv8 := (others=>'0');
+ signal DSP_DAT : slv16 := (others=>'0');
+ signal DSP_DP : slv4 := (others=>'0');
+
+ signal RB_MREQ : rb_mreq_type := rb_mreq_init;
+ signal RB_SRES_HIO : rb_sres_type := rb_sres_init;
+
+ signal FX2_RXDATA : slv8 := (others=>'0');
+ signal FX2_RXVAL : slbit := '0';
+ signal FX2_RXHOLD : slbit := '0';
+ signal FX2_RXAEMPTY : slbit := '0';
+ signal FX2_TXDATA : slv8 := (others=>'0');
+ signal FX2_TXENA : slbit := '0';
+ signal FX2_TXBUSY : slbit := '0';
+ signal FX2_TXAFULL : slbit := '0';
+ signal FX2_TX2DATA : slv8 := (others=>'0');
+ signal FX2_TX2ENA : slbit := '0';
+ signal FX2_TX2BUSY : slbit := '0';
+ signal FX2_TX2AFULL : slbit := '0';
+ signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init;
+
+ constant rbaddr_hio : slv8 := "11000000"; -- 110000xx
+
+begin
+
+ assert (sys_conf_clksys mod 1000000) = 0
+ report "assert sys_conf_clksys on MHz grid"
+ severity failure;
+
+ DCM : dcm_sfs
+ generic map (
+ CLKFX_DIVIDE => sys_conf_clkfx_divide,
+ CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
+ CLKIN_PERIOD => 10.0)
+ port map (
+ CLKIN => I_CLK100,
+ CLKFX => CLK,
+ LOCKED => open
+ );
+
+ CLKDIV : clkdivce
+ generic map (
+ CDUWIDTH => 7, -- good for up to 127 MHz !
+ USECDIV => sys_conf_clksys_mhz,
+ MSECDIV => 1000)
+ port map (
+ CLK => CLK,
+ CE_USEC => CE_USEC,
+ CE_MSEC => CE_MSEC
+ );
+
+ IOB_RS232 : bp_rs232_2l4l_iob
+ port map (
+ CLK => CLK,
+ RESET => '0',
+ SEL => SWI(0),
+ RXD => RXSD,
+ TXD => TXSD,
+ CTS_N => CTS_N,
+ RTS_N => RTS_N,
+ I_RXD0 => I_USB_RXD,
+ O_TXD0 => O_USB_TXD,
+ I_RXD1 => I_FUSP_RXD,
+ O_TXD1 => O_FUSP_TXD,
+ I_CTS1_N => I_FUSP_CTS_N,
+ O_RTS1_N => O_FUSP_RTS_N
+ );
+
+ HIO : sn_humanio_demu_rbus
+ generic map (
+ DEBOUNCE => sys_conf_hio_debounce,
+ RB_ADDR => rbaddr_hio)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ CE_MSEC => CE_MSEC,
+ RB_MREQ => RB_MREQ,
+ RB_SRES => RB_SRES_HIO,
+ SWI => SWI,
+ BTN => BTN,
+ LED => LED,
+ DSP_DAT => DSP_DAT,
+ DSP_DP => DSP_DP,
+ I_SWI => I_HIO_SWI,
+ I_BTN => I_HIO_BTN,
+ O_LED => O_HIO_LED
+ );
+
+ FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
+ CNTL : fx2_2fifoctl_as
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ RDPWLDELAY => sys_conf_fx2_rdpwldelay,
+ RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
+ WRPWLDELAY => sys_conf_fx2_wrpwldelay,
+ WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
+ FLAGDELAY => sys_conf_fx2_flagdelay)
+ port map (
+ CLK => CLK,
+ CE_USEC => CE_USEC,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_AS;
+
+ FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
+ CNTL : fx2_2fifoctl_ic
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_IC;
+
+ FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
+ CNTL : fx2_3fifoctl_ic
+ generic map (
+ RXFAWIDTH => 5,
+ TXFAWIDTH => 5,
+ PETOWIDTH => sys_conf_fx2_petowidth,
+ CCWIDTH => sys_conf_fx2_ccwidth,
+ RXAEMPTY_THRES => 1,
+ TXAFULL_THRES => 1,
+ TX2AFULL_THRES => 1)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ RXDATA => FX2_RXDATA,
+ RXVAL => FX2_RXVAL,
+ RXHOLD => FX2_RXHOLD,
+ RXAEMPTY => FX2_RXAEMPTY,
+ TXDATA => FX2_TXDATA,
+ TXENA => FX2_TXENA,
+ TXBUSY => FX2_TXBUSY,
+ TXAFULL => FX2_TXAFULL,
+ TX2DATA => FX2_TX2DATA,
+ TX2ENA => FX2_TX2ENA,
+ TX2BUSY => FX2_TX2BUSY,
+ TX2AFULL => FX2_TX2AFULL,
+ MONI => FX2_MONI,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+ end generate FX2_CNTL_IC3;
+
+ TST : entity work.tst_rlink_cuff
+ port map (
+ CLK => CLK,
+ RESET => '0',
+ CE_USEC => CE_USEC,
+ CE_MSEC => CE_MSEC,
+ RB_MREQ_TOP => RB_MREQ,
+ RB_SRES_TOP => RB_SRES_HIO,
+ SWI => SWI,
+ BTN => BTN(3 downto 0),
+ LED => LED,
+ DSP_DAT => DSP_DAT,
+ DSP_DP => DSP_DP,
+ RXSD => RXSD,
+ TXSD => TXSD,
+ RTS_N => RTS_N,
+ CTS_N => CTS_N,
+ FX2_RXDATA => FX2_RXDATA,
+ FX2_RXVAL => FX2_RXVAL,
+ FX2_RXHOLD => FX2_RXHOLD,
+ FX2_TXDATA => FX2_TXDATA,
+ FX2_TXENA => FX2_TXENA,
+ FX2_TXBUSY => FX2_TXBUSY,
+ FX2_TX2DATA => FX2_TX2DATA,
+ FX2_TX2ENA => FX2_TX2ENA,
+ FX2_TX2BUSY => FX2_TX2BUSY,
+ FX2_MONI => FX2_MONI
+ );
+
+end syn;
+
Index: rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/sys_tst_rlink_cuff_atlys.vbom (revision 18)
@@ -0,0 +1,27 @@
+# this is the vbom for the 'generic' top level entity
+# to be referenced in the vbom's of the specific systems
+# ./as/sys_tst_rlink_cuff_as_atlys
+# ./ic/sys_tst_rlink_cuff_ic_atlys
+# ./ic3/sys_tst_rlink_cuff_ic3_atlys
+#
+# libs
+../../../vlib/slvtypes.vhd
+../../../vlib/xlib/xlib.vhd
+../../../vlib/genlib/genlib.vhd
+../../../bplib/bpgen/bpgenlib.vbom
+../../../vlib/rbus/rblib.vhd
+../../../bplib/fx2lib/fx2lib.vhd
+${sys_conf}
+# components
+[xst,isim]../../../vlib/xlib/dcm_sfs_unisim_s3e.vbom
+[ghdl]../../../vlib/xlib/dcm_sfs_gsim.vbom
+../../../vlib/genlib/clkdivce.vbom
+../../../bplib/bpgen/bp_rs232_2l4l_iob.vbom
+../../../bplib/bpgen/sn_humanio_demu_rbus.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_as.vbom
+../../../bplib/fx2lib/fx2_2fifoctl_ic.vbom
+../../../bplib/fx2lib/fx2_3fifoctl_ic.vbom
+../tst_rlink_cuff.vbom
+# design
+sys_tst_rlink_cuff_atlys.vhd
+## no @ucf_cpp
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.ucf_cpp
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.ucf_cpp (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.ucf_cpp (revision 18)
@@ -0,0 +1,24 @@
+## $Id: sys_tst_rlink_cuff_ic_atlys.ucf_cpp 472 2013-01-06 14:39:10Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2013-01-06 472 1.0 Initial version
+##
+
+NET "I_CLK100" TNM_NET = "I_CLK100";
+TIMESPEC "TS_I_CLK100" = PERIOD "I_CLK100" 10.0 ns HIGH 50 %;
+OFFSET = IN 10 ns BEFORE "I_CLK100";
+OFFSET = OUT 20 ns AFTER "I_CLK100";
+
+## std board
+##
+#include "bplib/atlys/atlys_pins.ucf"
+##
+## Pmod A0 - RS232
+##
+#include "bplib/atlys/atlys_pins_pma0_rs232.ucf"
+##
+## FX2 interface
+##
+#include "bplib/atlys/atlys_pins_fx2.ucf"
+#include "bplib/atlys/atlys_time_fx2_ic.ucf"
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.vbom
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.vbom (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.vbom (revision 18)
@@ -0,0 +1,8 @@
+# conf
+sys_conf = sys_conf.vhd
+# libs
+# components
+# design
+../sys_tst_rlink_cuff_atlys.vbom
+@ucf_cpp: sys_tst_rlink_cuff_ic_atlys.ucf
+@top: sys_tst_rlink_cuff_atlys
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_conf.vhd
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_conf.vhd (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_conf.vhd (revision 18)
@@ -0,0 +1,62 @@
+-- $Id: sys_conf.vhd 472 2013-01-06 14:39:10Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Package Name: sys_conf
+-- Description: Definitions for sys_tst_rlink_cuff_ic_atlys (for synthesis)
+--
+-- Dependencies: -
+-- Tool versions: xst 13.3; ghdl 0.29
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-06 472 1.0 Initial version
+------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+
+use work.slvtypes.all;
+
+package sys_conf is
+
+ constant sys_conf_clkfx_divide : positive := 1;
+ constant sys_conf_clkfx_multiply : positive := 1;
+
+ constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
+ constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
+
+ constant sys_conf_fx2_type : string := "ic2";
+
+ -- dummy values defs for generic parameters of as controller
+ constant sys_conf_fx2_rdpwldelay : positive := 1;
+ constant sys_conf_fx2_rdpwhdelay : positive := 1;
+ constant sys_conf_fx2_wrpwldelay : positive := 1;
+ constant sys_conf_fx2_wrpwhdelay : positive := 1;
+ constant sys_conf_fx2_flagdelay : positive := 1;
+
+ -- pktend timer setting
+ -- petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec (normal operation)
+ constant sys_conf_fx2_petowidth : positive := 10;
+
+ constant sys_conf_fx2_ccwidth : positive := 5;
+
+ -- derived constants
+
+ constant sys_conf_clksys : integer :=
+ (100000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply;
+ constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
+
+ constant sys_conf_ser2rri_cdinit : integer :=
+ (sys_conf_clksys/sys_conf_ser2rri_defbaud)-1;
+
+end package sys_conf;
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/Makefile
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/Makefile (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/Makefile (revision 18)
@@ -0,0 +1,32 @@
+# $Id: Makefile 472 2013-01-06 14:39:10Z mueller $
+#
+# Revision History:
+# Date Rev Version Comment
+# 2013-01-06 472 1.0 Initial version
+#
+#
+VBOM_all = $(wildcard *.vbom)
+BIT_all = $(VBOM_all:.vbom=.bit)
+#
+ISE_BOARD = atlys
+ISE_PATH = xc6slx45-csg324-2
+FX2_FILE = nexys3_jtag_2fifo_ic.ihx
+#
+XFLOWOPT_SYN = syn_s6_speed.opt
+XFLOWOPT_IMP = imp_s6_speed.opt
+#
+.PHONY : all clean
+#
+all : $(BIT_all)
+#
+clean : ise_clean
+ rm -f $(VBOM_all:.vbom=.ucf)
+#
+#----
+#
+include $(RETROBASE)/rtl/make/generic_xflow.mk
+include $(RETROBASE)/rtl/make/generic_ghdl.mk
+#
+include $(VBOM_all:.vbom=.dep_xst)
+include $(VBOM_all:.vbom=.dep_ghdl)
+#
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/.cvsignore
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/.cvsignore (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/.cvsignore (revision 18)
@@ -0,0 +1,4 @@
+_impactbatch.log
+sys_tst_rlink_cuff_ic_atlys.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.mfset
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.mfset (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic/sys_tst_rlink_cuff_ic_atlys.mfset (revision 18)
@@ -0,0 +1,97 @@
+# $Id: sys_tst_rlink_cuff_ic_atlys.mfset 472 2013-01-06 14:39:10Z mueller $
+#
+# ----------------------------------------------------------------------------
+[xst]
+Case statement is complete. others clause is never selected
+Using initial value '0' for reset since it is never assigned
+Using initial value '0' for fx2_tx2ena_l since it is never assigned
+
+Net does not have a driver.
+
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected or connected
+Output port of the instance is unconnected
+
+Signal is used but never assigned
+
+Signal 'FX2_TX2BUSY', unconnected in block 'sys_tst_rlink_cuff_atlys'
+
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+ode of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+Node of sequential type is unconnected
+
+Input is never used
+Input > is never used
+Input > is never used
+Input > is never used
+Input > is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+Input is never used
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+FF/Latch has a constant value
+
+of type RAMB16_S18 has been replaced by RAMB16BWER
+of type RAMB16_S36 has been replaced by RAMB16BWER
+of type RAMB16_S36_S36 has been replaced by RAMB16BWER
+
+FF/Latch has a constant value of 0
+FF/Latch has a constant value
+
+The FF/Latch .* is equivalent
+The FF/Latch .* is equivalent
+
+#
+# ----------------------------------------------------------------------------
+[tra]
+
+#
+# ----------------------------------------------------------------------------
+[map]
+INFO:.*
+
+#
+# ----------------------------------------------------------------------------
+[par]
+The signal I_FX2_FLAG<3>_IBUF has no load
+There are 1 loadless signals in this design
+
+#
+# ----------------------------------------------------------------------------
+[bgn]
Index: rtl/sys_gen/tst_rlink_cuff/atlys/ic
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys/ic (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys/ic (revision 18)
rtl/sys_gen/tst_rlink_cuff/atlys/ic
Property changes :
Added: svn:ignore
## -0,0 +1,36 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
+_impactbatch.log
+sys_tst_rlink_cuff_ic_atlys.ucf
+*.dep_ucf_cpp
+*.svf
Index: rtl/sys_gen/tst_rlink_cuff/atlys
===================================================================
--- rtl/sys_gen/tst_rlink_cuff/atlys (nonexistent)
+++ rtl/sys_gen/tst_rlink_cuff/atlys (revision 18)
rtl/sys_gen/tst_rlink_cuff/atlys
Property changes :
Added: svn:ignore
## -0,0 +1,32 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
Index: rtl/bplib/fx2lib/fx2_2fifoctl_ic.vhd
===================================================================
--- rtl/bplib/fx2lib/fx2_2fifoctl_ic.vhd (revision 17)
+++ rtl/bplib/fx2lib/fx2_2fifoctl_ic.vhd (revision 18)
@@ -1,6 +1,6 @@
--- $Id: fx2_2fifoctl_ic.vhd 453 2012-01-15 17:51:18Z mueller $
+-- $Id: fx2_2fifoctl_ic.vhd 472 2013-01-06 14:39:10Z mueller $
--
--- Copyright 2012- by Walter F.J. Mueller
+-- Copyright 2012-2013 by Walter F.J. Mueller
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
@@ -27,11 +27,13 @@
--
-- Synthesized (xst):
-- Date Rev ise Target flop lutl lutm slic t peri
--- 2012-01-14 453 13.3 O76x xc3s1200e-4 101 173 64 159 s 8.3/7.4
+-- 2013-01-04 469 13.3 O76x xc3s1200e-4 112 172 64 169 s 7.4/7.4
+-- 2012-01-14 453 13.3 O76x xc3s1200e-4 101? 173 64 159 s 8.3/7.4
-- 2012-01-08 451 13.3 O76x xc3s1200e-4 110 166 64 163 s 7.5
--
-- Revision History:
-- Date Rev Version Comment
+-- 2013-01-04 469 1.2 BUGFIX: redo rx logic, now properly pipelined
-- 2012-01-15 453 1.1 use aempty/afull logic; collapse tx and pe flows
-- 2012-01-09 451 1.0 Initial version
-- 2012-01-01 448 0.5 First draft
@@ -95,7 +97,7 @@
s_rxprep1, -- s_rxprep1: fifo addr setup
s_rxprep2, -- s_rxprep2: wait for flags
s_rxdisp, -- s_rxdisp: read, dispatch
- s_rxpipe, -- s_rxpipe: read, pipe drain
+ s_rxpipe, -- s_rxpipe: read, pipe wait
s_txprep0, -- s_txprep0: switch to tx-fifo
s_txprep1, -- s_txprep1: fifo addr setup
s_txprep2, -- s_txprep2: wait for flags
@@ -106,7 +108,8 @@
state : state_type; -- state
petocnt : slv(PETOWIDTH-1 downto 0); -- pktend time out counter
pepend : slbit; -- pktend pending
- rxpipe : slbit; -- read transaction in flight
+ rxpipe1 : slbit; -- read pipe 1: iob capture stage
+ rxpipe2 : slbit; -- read pipe 2: fifo write stage
ccnt : slv(CCWIDTH-1 downto 0); -- chunk counter
moni_ep4_sel : slbit; -- ep4 (rx) select
moni_ep6_sel : slbit; -- ep6 (tx) select
@@ -120,7 +123,8 @@
constant regs_init : regs_type := (
s_idle, -- state
petocnt_init, -- petocnt
- '0','0', -- pepend,rxpipe
+ '0', -- pepend
+ '0','0', -- rxpipe1, rxpipe2
ccnt_init, -- ccnt
'0','0', -- moni_ep(4|6)_sel
'0','0' -- moni_ep(4|6)_pf
@@ -313,8 +317,6 @@
variable idata_ceo : slbit := '0';
variable idata_oe : slbit := '0';
- variable imoni : fx2ctl_moni_type := fx2ctl_moni_init;
-
variable slrxok : slbit := '0';
variable sltxok : slbit := '0';
variable pipeok : slbit := '0';
@@ -343,8 +345,6 @@
idata_ceo := '0';
idata_oe := '0';
- imoni := fx2ctl_moni_init;
-
slrxok := FX2_FLAG_N(c_flag_rx_ef); -- empty flag is act.low!
sltxok := FX2_FLAG_N(c_flag_tx_ff); -- full flag is act.low!
pipeok := FX2_FLAG_N(c_flag_prog); -- almost flag is act.low!
@@ -357,6 +357,8 @@
cc_done := '0';
end if;
+ n.rxpipe1 := '0';
+
case r.state is
when s_idle => -- s_idle:
if slrxok='1' and RXFIFO_BUSY='0' then
@@ -384,39 +386,37 @@
when s_rxdisp => -- s_rxdisp: read, dispatch
isloe := '1';
- if r.rxpipe = '1' then -- read in flight ?
- irxfifo_ena := '1'; -- capture rxdata
- n.rxpipe := '0';
- end if;
-
-- if chunk done and tx or pe pending and possible
if cc_done='1' and sltxok='1' and (TXFIFO_VAL='1' or r.pepend='1') then
- n.state := s_txprep0;
+ if r.rxpipe1='1' or r.rxpipe2='1' then -- rx pipe busy ?
+ n.state := s_rxdisp; -- wait
+ else
+ n.state := s_txprep0; -- otherwise switch to tx flow
+ end if;
-- if more rx to do and possible
- elsif slrxok='1' and RXFIFO_BUSY='0' then
+ elsif slrxok='1' and unsigned(RXSIZE_FX2)>3 then -- !thres must be >3!
+ islrd := '1';
cc_cnt := '1';
- idata_cei := '1';
- islrd := '1';
- if false and pipeok='1' and unsigned(RXSIZE_FX2)>2 then
- n.rxpipe := '1';
- n.state := s_rxdisp;
+ n.rxpipe1 := '1';
+ if pipeok='1' then
+ n.state := s_rxdisp; -- 1 cycle read
+ --n.state := s_rxprep2; -- 2 cycle read
else
n.state := s_rxpipe;
- end if;
+ end if;
-- otherwise back to idle
else
- n.state := s_idle;
+ if r.rxpipe1='1' or r.rxpipe2='1' then -- rx pipe busy ?
+ n.state := s_rxdisp; -- wait
+ else
+ n.state := s_idle; -- to idle
+ end if;
end if;
- when s_rxpipe => -- s_rxpipe: read, pipe drain
+ when s_rxpipe => -- s_rxpipe: read, pipe wait
isloe := '1';
- irxfifo_ena := '1'; -- capture rxdata
- if pipeok='1' and unsigned(RXSIZE_FX2)>1 then
- n.state := s_rxdisp;
- else
- n.state := s_rxprep2;
- end if;
-
+ n.state := s_rxprep2;
+
when s_txprep0 => -- s_txprep0: switch to tx-fifo
ififo_ce := '1';
ififo := c_txfifo;
@@ -459,6 +459,11 @@
when others => null;
end case;
+ -- rx pipe handling
+ idata_cei := r.rxpipe1;
+ n.rxpipe2 := r.rxpipe1;
+ irxfifo_ena := r.rxpipe2;
+
-- chunk counter handling
if cc_clr = '1' then
n.ccnt := (others=>'1');
/rtl/bplib/fx2lib/fx2_3fifoctl_ic.vhd
1,6 → 1,6
-- $Id: fx2_3fifoctl_ic.vhd 453 2012-01-15 17:51:18Z mueller $ |
-- $Id: fx2_3fifoctl_ic.vhd 472 2013-01-06 14:39:10Z mueller $ |
-- |
-- Copyright 2012- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- Copyright 2012-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
27,10 → 27,12
-- |
-- Synthesized (xst): |
-- Date Rev ise Target flop lutl lutm slic t peri |
-- 2012-01-15 453 13.3 O76x xc3s1200e-4 157 265 96 243 s 7.7/7.4 |
-- 2012-01-15 453 13.3 O76x xc3s1200e-4 156 259 96 238 s 7.9/7.5 |
-- |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-01-04 469 1.1 BUGFIX: redo rx logic, now properly pipelined |
-- 2012-01-09 453 1.0 Initial version (derived from 2fifo_ic) |
-- |
------------------------------------------------------------------------------ |
98,7 → 100,7
s_rxprep1, -- s_rxprep1: fifo addr setup |
s_rxprep2, -- s_rxprep2: wait for flags |
s_rxdisp, -- s_rxdisp: read, dispatch |
s_rxpipe, -- s_rxpipe: read, pipe drain |
s_rxpipe, -- s_rxpipe: read, pipe wait |
s_txprep0, -- s_txprep0: switch to tx-fifo |
s_txprep1, -- s_txprep1: fifo addr setup |
s_txprep2, -- s_txprep2: wait for flags |
115,7 → 117,8
pe2tocnt : slv(PETOWIDTH-1 downto 0); -- pktend 2 time out counter |
pepend : slbit; -- pktend 1 pending |
pe2pend : slbit; -- pktend 2 pending |
rxpipe : slbit; -- read transaction in flight |
rxpipe1 : slbit; -- read pipe 1: iob capture stage |
rxpipe2 : slbit; -- read pipe 2: fifo write stage |
ccnt : slv(CCWIDTH-1 downto 0); -- chunk counter |
moni_ep4_sel : slbit; -- ep4 (rx) select |
moni_ep6_sel : slbit; -- ep6 (tx) select |
132,7 → 135,8
s_idle, -- state |
petocnt_init, -- petocnt |
petocnt_init, -- pe2tocnt |
'0','0','0', -- pepend,pe2pend,rxpipe |
'0','0', -- pepend,pe2pend |
'0','0', -- rxpipe1, rxpipe2 |
ccnt_init, -- ccnt |
'0','0','0', -- moni_ep(4|6|8)_sel |
'0','0','0' -- moni_ep(4|6|8)_pf |
355,8 → 359,6
variable idata_oe : slbit := '0'; |
variable idata_do : slv8 := (others=>'0'); |
|
variable imoni : fx2ctl_moni_type := fx2ctl_moni_init; |
|
variable slrxok : slbit := '0'; |
variable sltxok : slbit := '0'; |
variable sltx2ok : slbit := '0'; |
388,8 → 390,6
idata_oe := '0'; |
idata_do := TXFIFO_DO; |
|
imoni := fx2ctl_moni_init; |
|
slrxok := FX2_FLAG_N(c_flag_rx_ef); -- empty flag is act.low! |
sltxok := FX2_FLAG_N(c_flag_tx_ff); -- full flag is act.low! |
sltx2ok := FX2_FLAG_N(c_flag_tx2_ff); -- full flag is act.low! |
402,6 → 402,8
else |
cc_done := '0'; |
end if; |
|
n.rxpipe1 := '0'; |
|
case r.state is |
when s_idle => -- s_idle: |
434,42 → 436,44
|
when s_rxdisp => -- s_rxdisp: read, dispatch |
isloe := '1'; |
if r.rxpipe = '1' then -- read in flight ? |
irxfifo_ena := '1'; -- capture rxdata |
n.rxpipe := '0'; |
end if; |
|
-- if chunk done and tx or pe pending and possible |
if cc_done='1' and sltxok='1' and (TXFIFO_VAL='1' or r.pepend='1') then |
n.state := s_txprep0; |
if r.rxpipe1='1' or r.rxpipe2='1' then -- rx pipe busy ? |
n.state := s_rxdisp; -- wait |
else |
n.state := s_txprep0; -- otherwise switch to tx flow |
end if; |
-- if chunk done and tx2 or pe2 pending and possible |
elsif cc_done='1' and sltx2ok='1' and (TX2FIFO_VAL='1' or r.pe2pend='1') |
then |
n.state := s_tx2prep0; |
if r.rxpipe1='1' or r.rxpipe2='1' then -- rx pipe busy ? |
n.state := s_rxdisp; -- wait |
else |
n.state := s_tx2prep0; |
end if; |
-- if more rx to do and possible |
elsif slrxok='1' and RXFIFO_BUSY='0' then |
elsif slrxok='1' and unsigned(RXSIZE_FX2)>3 then -- !thres must be >3! |
islrd := '1'; |
cc_cnt := '1'; |
idata_cei := '1'; |
islrd := '1'; |
if false and pipeok='1' and unsigned(RXSIZE_FX2)>2 then |
n.rxpipe := '1'; |
n.state := s_rxdisp; |
n.rxpipe1 := '1'; |
if pipeok='1' then |
n.state := s_rxdisp; -- 1 cycle read |
--n.state := s_rxprep2; -- 2 cycle read |
else |
n.state := s_rxpipe; |
end if; |
end if; |
-- otherwise back to idle |
else |
n.state := s_idle; |
if r.rxpipe1='1' or r.rxpipe2='1' then -- rx pipe busy ? |
n.state := s_rxdisp; -- wait |
else |
n.state := s_idle; -- to idle |
end if; |
end if; |
|
when s_rxpipe => -- s_rxpipe: read, pipe drain |
when s_rxpipe => -- s_rxpipe: read, pipe wait |
isloe := '1'; |
irxfifo_ena := '1'; -- capture rxdata |
if pipeok='1' and unsigned(RXSIZE_FX2)>1 then |
n.state := s_rxdisp; |
else |
n.state := s_rxprep2; |
end if; |
n.state := s_rxprep2; |
|
when s_txprep0 => -- s_txprep0: switch to tx-fifo |
ififo_ce := '1'; |
562,6 → 566,11
when others => null; |
end case; |
|
-- rx pipe handling |
idata_cei := r.rxpipe1; |
n.rxpipe2 := r.rxpipe1; |
irxfifo_ena := r.rxpipe2; |
|
-- chunk counter handling |
if cc_clr = '1' then |
n.ccnt := (others=>'1'); |
/rtl/bplib/fx2lib/tb/fx2_2fifo_core.vhd
0,0 → 1,277
-- $Id: fx2_2fifo_core.vhd 469 2013-01-05 12:29:44Z mueller $ |
-- |
-- Copyright 2013- by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
-- Software Foundation, either version 2, or at your option any later version. |
-- |
-- This program is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY |
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License |
-- for complete details. |
-- |
------------------------------------------------------------------------------ |
-- Module Name: fx2_2fifo_core - sim |
-- Description: Cypress EZ-USB FX2 (2 fifo core model) |
-- |
-- Dependencies: memlib/fifo_2c_dram |
-- Test bench: - |
-- Target Devices: generic |
-- Tool versions: xst 13.3; ghdl 0.29 |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-01-04 469 1.0 Initial version |
------------------------------------------------------------------------------ |
|
library ieee; |
use ieee.std_logic_1164.all; |
use ieee.numeric_std.all; |
use ieee.std_logic_textio.all; |
use std.textio.all; |
|
use work.slvtypes.all; |
use work.simbus.all; |
use work.fx2lib.all; |
use work.memlib.all; |
|
entity fx2_2fifo_core is -- EZ-USB FX2 (2 fifo core model) |
port ( |
CLK : in slbit; -- uplink clock |
RESET : in slbit; -- reset |
RXDATA : in slv8; -- rx data (ext->fx2) |
RXENA : in slbit; -- rx enable |
RXBUSY : out slbit; -- rx busy |
TXDATA : out slv8; -- tx data (fx2->ext) |
TXVAL : out slbit; -- tx valid |
IFCLK : out slbit; -- fx2 interface clock |
FIFO : in slv2; -- fx2 fifo address |
FLAG : out slv4; -- fx2 fifo flags |
SLRD_N : in slbit; -- fx2 read enable (act.low) |
SLWR_N : in slbit; -- fx2 write enable (act.low) |
SLOE_N : in slbit; -- fx2 output enable (act.low) |
PKTEND_N : in slbit; -- fx2 packet end (act.low) |
DATA : inout slv8 -- fx2 data lines |
); |
end fx2_2fifo_core; |
|
|
architecture sim of fx2_2fifo_core is |
|
constant c_rxfifo : slv2 := c_fifo_ep4; |
constant c_txfifo : slv2 := c_fifo_ep6; |
|
constant c_flag_prog : integer := 0; |
constant c_flag_tx_ff : integer := 1; |
constant c_flag_rx_ef : integer := 2; |
constant c_flag_tx2_ff : integer := 3; |
|
constant bufsize : positive := 1024; |
constant datzero : slv(DATA'range) := (others=>'0'); |
type buf_type is array (0 to bufsize-1) of slv(DATA'range); |
|
signal CLK30 : slbit := '0'; |
|
signal RXFIFO_DO : slv8 := (others=>'0'); |
signal RXFIFO_VAL : slbit := '0'; |
signal RXFIFO_HOLD : slbit := '0'; |
signal TXFIFO_DI : slv8 := (others=>'0'); |
signal TXFIFO_ENA : slbit := '0'; |
signal TXFIFO_BUSY : slbit := '0'; |
|
signal R_FLAG : slv4 := (others=>'0'); |
signal R_DATA : slv8 := (others=>'0'); |
|
-- added for debug purposes |
signal R_rxbuf_rind : natural := 0; |
signal R_rxbuf_wind : natural := 0; |
signal R_rxbuf_nbyt : natural := 0; |
signal R_txbuf_rind : natural := 0; |
signal R_txbuf_wind : natural := 0; |
signal R_txbuf_nbyt : natural := 0; |
|
begin |
|
RXFIFO : fifo_2c_dram |
generic map ( |
AWIDTH => 5, |
DWIDTH => 8) |
port map ( |
CLKW => CLK, |
CLKR => CLK30, |
RESETW => '0', |
RESETR => '0', |
DI => RXDATA, |
ENA => RXENA, |
BUSY => RXBUSY, |
DO => RXFIFO_DO, |
VAL => RXFIFO_VAL, |
HOLD => RXFIFO_HOLD, |
SIZEW => open, |
SIZER => open |
); |
|
TXFIFO : fifo_2c_dram |
generic map ( |
AWIDTH => 5, |
DWIDTH => 8) |
port map ( |
CLKW => CLK30, |
CLKR => CLK, |
RESETW => '0', |
RESETR => '0', |
DI => TXFIFO_DI, |
ENA => TXFIFO_ENA, |
BUSY => TXFIFO_BUSY, |
DO => TXDATA, |
VAL => TXVAL, |
HOLD => '0', |
SIZEW => open, |
SIZER => open |
); |
|
proc_ifclk: process |
constant offset : time := 200 ns; |
constant halfperiod_7 : time := 16700 ps; |
constant halfperiod_6 : time := 16600 ps; |
begin |
|
CLK30 <= '0'; |
wait for offset; |
|
clk_loop: loop |
CLK30 <= '1'; |
wait for halfperiod_7; |
CLK30 <= '0'; |
wait for halfperiod_7; |
CLK30 <= '1'; |
wait for halfperiod_6; |
CLK30 <= '0'; |
wait for halfperiod_7; |
CLK30 <= '1'; |
wait for halfperiod_7; |
CLK30 <= '0'; |
wait for halfperiod_6; |
exit clk_loop when to_x01(SB_CLKSTOP) = '1'; |
end loop; |
|
wait; -- endless wait, simulator will stop |
|
end process proc_ifclk; |
|
proc_state: process (CLK30) |
variable rxbuf : buf_type := (others=>datzero); |
variable rxbuf_rind : natural := 0; |
variable rxbuf_wind : natural := 0; |
variable rxbuf_nbyt : natural := 0; |
|
variable txbuf : buf_type := (others=>datzero); |
variable txbuf_rind : natural := 0; |
variable txbuf_wind : natural := 0; |
variable txbuf_nbyt : natural := 0; |
|
variable oline : line; |
|
begin |
|
if rising_edge(CLK30) then |
|
RXFIFO_HOLD <= '0'; |
TXFIFO_ENA <= '0'; |
|
-- rxfifo -> rxbuf |
if RXFIFO_VAL = '1' then |
if rxbuf_nbyt < bufsize then |
rxbuf(rxbuf_wind) := RXFIFO_DO; |
rxbuf_wind := (rxbuf_wind + 1) mod bufsize; |
rxbuf_nbyt := rxbuf_nbyt + 1; |
else |
RXFIFO_HOLD <= '1'; |
end if; |
end if; |
|
-- txbuf -> txfifo |
if txbuf_nbyt>0 and TXFIFO_BUSY='0' then |
TXFIFO_DI <= txbuf(txbuf_rind); |
TXFIFO_ENA <= '1'; |
txbuf_rind := (txbuf_rind + 1) mod bufsize; |
txbuf_nbyt := txbuf_nbyt - 1; |
end if; |
|
-- slrd cycle: rxbuf -> data |
if SLRD_N = '0' then |
if rxbuf_nbyt > 0 then |
rxbuf_rind := (rxbuf_rind + 1) mod bufsize; |
rxbuf_nbyt := rxbuf_nbyt - 1; |
else |
write(oline, string'("fx2_2fifo_core: SLRD_N=0 when rxbuf empty")); |
writeline(output, oline); |
end if; |
end if; |
R_DATA <= rxbuf(rxbuf_rind); |
|
-- slwr cycle: data -> txbuf |
if SLWR_N = '0' then |
if txbuf_nbyt < bufsize then |
txbuf(txbuf_wind) := DATA; |
txbuf_wind := (txbuf_wind + 1) mod bufsize; |
txbuf_nbyt := txbuf_nbyt + 1; |
else |
write(oline, string'("fx2_2fifo_core: SLWR_N=0 when txbuf full")); |
writeline(output, oline); |
end if; |
end if; |
|
-- prepare flags (note that FLAGs are act.low!) |
R_FLAG <= (others=>'1'); |
-- FLAGA = indexed, PF |
-- rx endpoint -> PF 'almost empty' at 3 bytes to go |
if FIFO = c_rxfifo then |
if rxbuf_nbyt < 4 then |
R_FLAG(0) <= '0'; |
end if; |
-- tx endpoint -> PF 'almost full' at 3 bytes to go |
elsif FIFO = c_txfifo then |
if txbuf_nbyt > bufsize-4 then |
R_FLAG(0) <= '0'; |
end if; |
end if; |
|
-- FLAGB = EP6 FF |
if txbuf_nbyt = bufsize then |
R_FLAG(1) <= '0'; |
end if; |
|
-- FLAGC = EP4 EF |
if rxbuf_nbyt = 0 then |
R_FLAG(2) <= '0'; |
end if; |
|
-- FLAGD = EP8 FF |
R_FLAG(3) <= '1'; |
|
-- added for debug purposes |
R_rxbuf_rind <= rxbuf_rind; |
R_rxbuf_wind <= rxbuf_wind; |
R_rxbuf_nbyt <= rxbuf_nbyt; |
R_txbuf_rind <= txbuf_rind; |
R_txbuf_wind <= txbuf_wind; |
R_txbuf_nbyt <= txbuf_nbyt; |
|
end if; |
|
end process proc_state; |
|
IFCLK <= CLK30; |
FLAG <= R_FLAG; |
|
proc_data: process (SLOE_N, R_DATA) |
begin |
if SLOE_N = '1' then |
DATA <= (others=>'Z'); |
else |
DATA <= R_DATA; |
end if; |
end process proc_data; |
|
end sim; |
/rtl/bplib/fx2lib/tb/fx2_2fifo_core.vbom
0,0 → 1,9
# libs |
../../../vlib/slvtypes.vhd |
../../../vlib/simlib/simlib.vhd |
../fx2lib.vhd |
../../../vlib/memlib/memlib.vhd |
# components |
../../../vlib/memlib/fifo_2c_dram.vbom |
# design |
fx2_2fifo_core.vhd |
rtl/bplib/fx2lib/tb
Property changes :
Added: svn:ignore
## -0,0 +1,32 ##
+*.dep_ghdl
+*.dep_isim
+*.dep_xst
+work-obj93.cf
+*.vcd
+*.ghw
+*.sav
+*.tmp
+*.exe
+ise
+xflow.his
+*.ngc
+*.ncd
+*.pcf
+*.bit
+*.msk
+isim
+isim.log
+isim.wdb
+fuse.log
+*_[sft]sim.vhd
+*_tsim.sdf
+*_xst.log
+*_tra.log
+*_twr.log
+*_map.log
+*_par.log
+*_pad.log
+*_bgn.log
+*_svn.log
+*_sum.log
+*_[dsft]sim.log
Index: rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vbom
===================================================================
--- rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vbom (nonexistent)
+++ rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vbom (revision 18)
@@ -0,0 +1,26 @@
+# Not meant for direct top level usage. Used with
+# tb_nexys2_fusp_cuff_(....)[_ssim].vbom and config
+# lines to generate the different cases.
+#
+# libs
+../../../vlib/slvtypes.vhd
+../../../vlib/rlink/rlinklib.vbom
+../../../vlib/rlink/tb/rlinktblib.vhd
+../../../vlib/serport/serport.vhd
+../../../vlib/xlib/xlib.vhd
+../nexys2lib.vhd
+../../../vlib/simlib/simlib.vhd
+../../../vlib/simlib/simbus.vhd
+${sys_conf := sys_conf_sim.vhd}
+# components
+../../../vlib/simlib/simclk.vbom
+../../../vlib/simlib/simclkcnt.vbom
+../../../vlib/rlink/tb/tbcore_rlink.vbom
+../../../vlib/xlib/dcm_sfs_gsim.vbom
+tb_nexys2_core.vbom
+../../../vlib/serport/serport_uart_rxtx.vbom
+../../../bplib/fx2lib/tb/fx2_2fifo_core.vbom
+${nexys2_fusp_cuff_aif := nexys2_fusp_cuff_dummy.vbom}
+# design
+tb_nexys2_fusp_cuff.vhd
+@top:tb_nexys2_fusp_cuff
Index: rtl/bplib/nexys2/tb/.cvsignore
===================================================================
--- rtl/bplib/nexys2/tb/.cvsignore (revision 17)
+++ rtl/bplib/nexys2/tb/.cvsignore (revision 18)
@@ -1,2 +1,3 @@
tb_nexys2_dummy
tb_nexys2_fusp_dummy
+tb_nexys2_fusp_cuff_dummy
Index: rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vhd
===================================================================
--- rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vhd (nonexistent)
+++ rtl/bplib/nexys2/tb/tb_nexys2_fusp_cuff.vhd (revision 18)
@@ -0,0 +1,329 @@
+-- $Id: tb_nexys2_fusp_cuff.vhd 469 2013-01-05 12:29:44Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: tb_nexys2_fusp_cuff - sim
+-- Description: Test bench for nexys2 (base+fusp+cuff)
+--
+-- Dependencies: simlib/simclk
+-- simlib/simclkcnt
+-- xlib/dcm_sfs
+-- rlink/tb/tbcore_rlink_dcm
+-- tb_nexys2_core
+-- serport/serport_uart_rxtx
+-- fx2lib/tb/fx2_2fifo_core
+-- nexys2_fusp_aif [UUT]
+--
+-- To test: generic, any nexys2_fusp_cuff_aif target
+--
+-- Target Devices: generic
+-- Tool versions: xst 13.3; ghdl 0.29
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-03 469 1.1 add fx2 model and data path
+-- 2013-01-01 467 1.0 Initial version (derived from tb_nexys2_fusp)
+------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.std_logic_textio.all;
+use std.textio.all;
+
+use work.slvtypes.all;
+use work.rlinklib.all;
+use work.rlinktblib.all;
+use work.serport.all;
+use work.xlib.all;
+use work.nexys2lib.all;
+use work.simlib.all;
+use work.simbus.all;
+use work.sys_conf.all;
+
+entity tb_nexys2_fusp_cuff is
+end tb_nexys2_fusp_cuff;
+
+architecture sim of tb_nexys2_fusp_cuff is
+
+ signal CLKOSC : slbit := '0';
+ signal CLKCOM : slbit := '0';
+
+ signal CLK_STOP : slbit := '0';
+ signal CLKCOM_CYCLE : integer := 0;
+
+ signal RESET : slbit := '0';
+ signal CLKDIV : slv2 := "00"; -- run with 1 clocks / bit !!
+
+ signal TBC_RXDATA : slv8 := (others=>'0');
+ signal TBC_RXVAL : slbit := '0';
+ signal TBC_RXHOLD : slbit := '0';
+ signal TBC_TXDATA : slv8 := (others=>'0');
+ signal TBC_TXENA : slbit := '0';
+
+ signal UART_RXDATA : slv8 := (others=>'0');
+ signal UART_RXVAL : slbit := '0';
+ signal UART_RXERR : slbit := '0';
+ signal UART_RXACT : slbit := '0';
+ signal UART_TXDATA : slv8 := (others=>'0');
+ signal UART_TXENA : slbit := '0';
+ signal UART_TXBUSY : slbit := '0';
+
+ signal FX2_RXDATA : slv8 := (others=>'0');
+ signal FX2_RXENA : slbit := '0';
+ signal FX2_RXBUSY : slbit := '0';
+ signal FX2_TXDATA : slv8 := (others=>'0');
+ signal FX2_TXVAL : slbit := '0';
+
+ signal I_RXD : slbit := '1';
+ signal O_TXD : slbit := '1';
+ signal I_SWI : slv8 := (others=>'0');
+ signal I_BTN : slv4 := (others=>'0');
+ signal O_LED : slv8 := (others=>'0');
+ signal O_ANO_N : slv4 := (others=>'0');
+ signal O_SEG_N : slv8 := (others=>'0');
+
+ signal O_MEM_CE_N : slbit := '1';
+ signal O_MEM_BE_N : slv2 := (others=>'1');
+ signal O_MEM_WE_N : slbit := '1';
+ signal O_MEM_OE_N : slbit := '1';
+ signal O_MEM_ADV_N : slbit := '1';
+ signal O_MEM_CLK : slbit := '0';
+ signal O_MEM_CRE : slbit := '0';
+ signal I_MEM_WAIT : slbit := '0';
+ signal O_MEM_ADDR : slv23 := (others=>'Z');
+ signal IO_MEM_DATA : slv16 := (others=>'0');
+ signal O_FLA_CE_N : slbit := '0';
+
+ signal O_FUSP_RTS_N : slbit := '0';
+ signal I_FUSP_CTS_N : slbit := '0';
+ signal I_FUSP_RXD : slbit := '1';
+ signal O_FUSP_TXD : slbit := '1';
+
+ signal I_FX2_IFCLK : slbit := '0';
+ signal O_FX2_FIFO : slv2 := (others=>'0');
+ signal I_FX2_FLAG : slv4 := (others=>'0');
+ signal O_FX2_SLRD_N : slbit := '1';
+ signal O_FX2_SLWR_N : slbit := '1';
+ signal O_FX2_SLOE_N : slbit := '1';
+ signal O_FX2_PKTEND_N : slbit := '1';
+ signal IO_FX2_DATA : slv8 := (others=>'Z');
+
+ signal UART_RESET : slbit := '0';
+ signal UART_RXD : slbit := '1';
+ signal UART_TXD : slbit := '1';
+ signal CTS_N : slbit := '0';
+ signal RTS_N : slbit := '0';
+
+ signal R_PORTSEL_SER : slbit := '0'; -- if 1 use alternate serport
+ signal R_PORTSEL_FX2 : slbit := '0'; -- if 1 use fx2
+
+ constant sbaddr_portsel: slv8 := slv(to_unsigned( 8,8));
+
+ constant clock_period : time := 20 ns;
+ constant clock_offset : time := 200 ns;
+
+begin
+
+ CLKGEN : simclk
+ generic map (
+ PERIOD => clock_period,
+ OFFSET => clock_offset)
+ port map (
+ CLK => CLKOSC,
+ CLK_STOP => CLK_STOP
+ );
+
+ SB_CLKSTOP <= CLK_STOP;
+
+ DCM_COM : dcm_sfs
+ generic map (
+ CLKFX_DIVIDE => sys_conf_clkfx_divide,
+ CLKFX_MULTIPLY => sys_conf_clkfx_multiply,
+ CLKIN_PERIOD => 20.0)
+ port map (
+ CLKIN => CLKOSC,
+ CLKFX => CLKCOM,
+ LOCKED => open
+ );
+
+ CLKCNT : simclkcnt port map (CLK => CLKCOM, CLK_CYCLE => CLKCOM_CYCLE);
+
+ TBCORE : tbcore_rlink
+ port map (
+ CLK => CLKCOM,
+ CLK_STOP => CLK_STOP,
+ RX_DATA => TBC_RXDATA,
+ RX_VAL => TBC_RXVAL,
+ RX_HOLD => TBC_RXHOLD,
+ TX_DATA => TBC_TXDATA,
+ TX_ENA => TBC_TXENA
+ );
+
+ N2CORE : entity work.tb_nexys2_core
+ port map (
+ I_SWI => I_SWI,
+ I_BTN => I_BTN,
+ O_MEM_CE_N => O_MEM_CE_N,
+ O_MEM_BE_N => O_MEM_BE_N,
+ O_MEM_WE_N => O_MEM_WE_N,
+ O_MEM_OE_N => O_MEM_OE_N,
+ O_MEM_ADV_N => O_MEM_ADV_N,
+ O_MEM_CLK => O_MEM_CLK,
+ O_MEM_CRE => O_MEM_CRE,
+ I_MEM_WAIT => I_MEM_WAIT,
+ O_MEM_ADDR => O_MEM_ADDR,
+ IO_MEM_DATA => IO_MEM_DATA
+ );
+
+ UUT : nexys2_fusp_cuff_aif
+ port map (
+ I_CLK50 => CLKOSC,
+ I_RXD => I_RXD,
+ O_TXD => O_TXD,
+ I_SWI => I_SWI,
+ I_BTN => I_BTN,
+ O_LED => O_LED,
+ O_ANO_N => O_ANO_N,
+ O_SEG_N => O_SEG_N,
+ O_MEM_CE_N => O_MEM_CE_N,
+ O_MEM_BE_N => O_MEM_BE_N,
+ O_MEM_WE_N => O_MEM_WE_N,
+ O_MEM_OE_N => O_MEM_OE_N,
+ O_MEM_ADV_N => O_MEM_ADV_N,
+ O_MEM_CLK => O_MEM_CLK,
+ O_MEM_CRE => O_MEM_CRE,
+ I_MEM_WAIT => I_MEM_WAIT,
+ O_MEM_ADDR => O_MEM_ADDR,
+ IO_MEM_DATA => IO_MEM_DATA,
+ O_FLA_CE_N => O_FLA_CE_N,
+ O_FUSP_RTS_N => O_FUSP_RTS_N,
+ I_FUSP_CTS_N => I_FUSP_CTS_N,
+ I_FUSP_RXD => I_FUSP_RXD,
+ O_FUSP_TXD => O_FUSP_TXD,
+ I_FX2_IFCLK => I_FX2_IFCLK,
+ O_FX2_FIFO => O_FX2_FIFO,
+ I_FX2_FLAG => I_FX2_FLAG,
+ O_FX2_SLRD_N => O_FX2_SLRD_N,
+ O_FX2_SLWR_N => O_FX2_SLWR_N,
+ O_FX2_SLOE_N => O_FX2_SLOE_N,
+ O_FX2_PKTEND_N => O_FX2_PKTEND_N,
+ IO_FX2_DATA => IO_FX2_DATA
+ );
+
+ UART : serport_uart_rxtx
+ generic map (
+ CDWIDTH => CLKDIV'length)
+ port map (
+ CLK => CLKCOM,
+ RESET => UART_RESET,
+ CLKDIV => CLKDIV,
+ RXSD => UART_RXD,
+ RXDATA => UART_RXDATA,
+ RXVAL => UART_RXVAL,
+ RXERR => UART_RXERR,
+ RXACT => UART_RXACT,
+ TXSD => UART_TXD,
+ TXDATA => UART_TXDATA,
+ TXENA => UART_TXENA,
+ TXBUSY => UART_TXBUSY
+ );
+
+ FX2 : entity work.fx2_2fifo_core
+ port map (
+ CLK => CLKCOM,
+ RESET => '0',
+ RXDATA => FX2_RXDATA,
+ RXENA => FX2_RXENA,
+ RXBUSY => FX2_RXBUSY,
+ TXDATA => FX2_TXDATA,
+ TXVAL => FX2_TXVAL,
+ IFCLK => I_FX2_IFCLK,
+ FIFO => O_FX2_FIFO,
+ FLAG => I_FX2_FLAG,
+ SLRD_N => O_FX2_SLRD_N,
+ SLWR_N => O_FX2_SLWR_N,
+ SLOE_N => O_FX2_SLOE_N,
+ PKTEND_N => O_FX2_PKTEND_N,
+ DATA => IO_FX2_DATA
+ );
+
+ proc_fx2_mux: process (R_PORTSEL_FX2, TBC_RXDATA, TBC_RXVAL,
+ UART_TXBUSY, RTS_N, UART_RXDATA, UART_RXVAL,
+ FX2_RXBUSY, FX2_TXDATA, FX2_TXVAL
+ )
+ begin
+
+ if R_PORTSEL_FX2 = '0' then -- use serport
+ UART_TXDATA <= TBC_RXDATA;
+ UART_TXENA <= TBC_RXVAL;
+ TBC_RXHOLD <= UART_TXBUSY or RTS_N;
+ TBC_TXDATA <= UART_RXDATA;
+ TBC_TXENA <= UART_RXVAL;
+ else -- otherwise use fx2
+ FX2_RXDATA <= TBC_RXDATA;
+ FX2_RXENA <= TBC_RXVAL;
+ TBC_RXHOLD <= FX2_RXBUSY;
+ TBC_TXDATA <= FX2_TXDATA;
+ TBC_TXENA <= FX2_TXVAL;
+ end if;
+
+ end process proc_fx2_mux;
+
+ proc_ser_mux: process (R_PORTSEL_SER, UART_TXD, CTS_N,
+ O_TXD, O_FUSP_TXD, O_FUSP_RTS_N)
+ begin
+
+ if R_PORTSEL_SER = '0' then -- use main board rs232, no flow cntl
+ I_RXD <= UART_TXD; -- write port 0 inputs
+ UART_RXD <= O_TXD; -- get port 0 outputs
+ RTS_N <= '0';
+ I_FUSP_RXD <= '1'; -- port 1 inputs to idle state
+ I_FUSP_CTS_N <= '0';
+ else -- otherwise use pmod1 rs232
+ I_FUSP_RXD <= UART_TXD; -- write port 1 inputs
+ I_FUSP_CTS_N <= CTS_N;
+ UART_RXD <= O_FUSP_TXD; -- get port 1 outputs
+ RTS_N <= O_FUSP_RTS_N;
+ I_RXD <= '1'; -- port 0 inputs to idle state
+ end if;
+
+ end process proc_ser_mux;
+
+ proc_moni: process
+ variable oline : line;
+ begin
+
+ loop
+ wait until rising_edge(CLKCOM);
+
+ if UART_RXERR = '1' then
+ writetimestamp(oline, CLKCOM_CYCLE, " : seen UART_RXERR=1");
+ writeline(output, oline);
+ end if;
+
+ end loop;
+
+ end process proc_moni;
+
+ proc_simbus: process (SB_VAL)
+ begin
+ if SB_VAL'event and to_x01(SB_VAL)='1' then
+ if SB_ADDR = sbaddr_portsel then
+ R_PORTSEL_SER <= to_x01(SB_DATA(0));
+ R_PORTSEL_FX2 <= to_x01(SB_DATA(1));
+ end if;
+ end if;
+ end process proc_simbus;
+
+end sim;
Index: rtl/bplib/nexys2/tb
===================================================================
--- rtl/bplib/nexys2/tb (revision 17)
+++ rtl/bplib/nexys2/tb (revision 18)
rtl/bplib/nexys2/tb
Property changes :
Modified: svn:ignore
## -32,3 +32,4 ##
*_[dsft]sim.log
tb_nexys2_dummy
tb_nexys2_fusp_dummy
+tb_nexys2_fusp_cuff_dummy
Index: rtl/bplib/nexys3/nexys3_time_fx2_ic.ucf
===================================================================
--- rtl/bplib/nexys3/nexys3_time_fx2_ic.ucf (nonexistent)
+++ rtl/bplib/nexys3/nexys3_time_fx2_ic.ucf (revision 18)
@@ -0,0 +1,17 @@
+## $Id: nexys3_time_fx2_ic.ucf 448 2012-01-02 21:55:11Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2012-01-01 448 1.0 Initial version
+##
+## timing rules for a 30 MHz internal clock design:
+## Period: 30 MHz
+## clk->out: longest setup time in FX2 is t_SRD (clk->SLRD) of 18.7 ns
+## clk->out < 33.3-18.7 = 14.6 ns
+## --> use 10 ns
+##
+
+NET "I_FX2_IFCLK" TNM_NET = "I_FX2_IFCLK";
+TIMESPEC "TS_I_FX2_IFCLK" = PERIOD "I_FX2_IFCLK" 33.34 ns HIGH 50 %;
+OFFSET = IN 2 ns BEFORE "I_FX2_IFCLK";
+OFFSET = OUT 10 ns AFTER "I_FX2_IFCLK";
Index: rtl/bplib/nexys3/nexys3_pins_fx2.ucf
===================================================================
--- rtl/bplib/nexys3/nexys3_pins_fx2.ucf (nonexistent)
+++ rtl/bplib/nexys3/nexys3_pins_fx2.ucf (revision 18)
@@ -0,0 +1,38 @@
+## $Id: nexys3_pins_fx2.ucf 455 2012-01-24 09:11:25Z mueller $
+##
+## Revision History:
+## Date Rev Version Comment
+## 2012-01-23 455 1.2 fix SLOE_N (h4->h6)
+## 2012-01-01 448 1.1 use 12/FAST instead of 6/SLOW for _DATA<*>
+## 2011-11-27 433 1.0 Initial version
+##
+## Cypress EZ-USB FX2 Interface -- in Bank 3 ---------------------------------
+##
+##
+NET "I_FX2_IFCLK" LOC = "h2" | IOSTANDARD=LVCMOS33;
+##
+NET "IO_FX2_DATA<0>" LOC = "e1" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<1>" LOC = "f4" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<2>" LOC = "f3" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<3>" LOC = "d2" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<4>" LOC = "d1" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<5>" LOC = "h7" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<6>" LOC = "g6" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<7>" LOC = "e4" | IOSTANDARD=LVCMOS33;
+NET "IO_FX2_DATA<*>" DRIVE=12 | SLEW=FAST | KEEPER;
+##
+NET "O_FX2_SLWR_N" LOC = "c1" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+NET "O_FX2_SLRD_N" LOC = "c2" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+NET "O_FX2_SLOE_N" LOC = "h6" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+##
+NET "O_FX2_PKTEND_N" LOC = "d3" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+##
+NET "O_FX2_FIFO<0>" LOC = "h5" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+NET "O_FX2_FIFO<1>" LOC = "e3" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST;
+##
+## assume that PA.7 is used as FLAGD (and not as SLCS#)
+NET "I_FX2_FLAG<0>" LOC = "h1" | IOSTANDARD=LVCMOS33; ## flag a (program)
+NET "I_FX2_FLAG<1>" LOC = "k4" | IOSTANDARD=LVCMOS33; ## flag b (full)
+NET "I_FX2_FLAG<2>" LOC = "f5" | IOSTANDARD=LVCMOS33; ## flag c (empty)
+NET "I_FX2_FLAG<3>" LOC = "f6" | IOSTANDARD=LVCMOS33; ## flag d (slcs)
+##
Index: rtl/bplib/bpgen/sn_humanio_demu_rbus.vhd
===================================================================
--- rtl/bplib/bpgen/sn_humanio_demu_rbus.vhd (nonexistent)
+++ rtl/bplib/bpgen/sn_humanio_demu_rbus.vhd (revision 18)
@@ -0,0 +1,300 @@
+-- $Id: sn_humanio_demu_rbus.vhd 472 2013-01-06 14:39:10Z mueller $
+--
+-- Copyright 2013- by Walter F.J. Mueller
+--
+-- This program is free software; you may redistribute and/or modify it under
+-- the terms of the GNU General Public License as published by the Free
+-- Software Foundation, either version 2, or at your option any later version.
+--
+-- This program is distributed in the hope that it will be useful, but
+-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
+-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
+-- for complete details.
+--
+------------------------------------------------------------------------------
+-- Module Name: sn_humanio_demu_rbus - syn
+-- Description: sn_humanio_demu with rbus interceptor
+--
+-- Dependencies: bpgen/sn_humanio_demu
+--
+-- Test bench: -
+--
+-- Target Devices: generic
+-- Tool versions: xst 13.3; ghdl 0.0.29
+--
+-- Synthesized (xst):
+-- Date Rev ise Target flop lutl lutm slic t peri
+-- 2013-01-06 472 13.3 O76xd xc3s1000-4 160 136 0 124 s 6.1 ns
+--
+-- Revision History:
+-- Date Rev Version Comment
+-- 2013-01-06 472 1.0 Initial version (cloned from sn_humanio_rbus
+------------------------------------------------------------------------------
+--
+-- rbus registers:
+--
+-- Address Bits Name r/w/f Function
+-- bbbbbb00 cntl r/w/- Control register and BTN access
+-- x:08 btn r/w/- r: return hio BTN status
+-- w: ored with hio BTN to drive BTN
+-- 3 dsp_en r/w/- if 1 display data will be driven by rbus
+-- 2 dp_en r/w/- if 1 display dp's will be driven by rbus
+-- 1 led_en r/w/- if 1 LED will be driven by rri
+-- 0 swi_en r/w/- if 1 SWI will be driven by rri
+--
+-- bbbbbb01 7:00 swi r/w/- r: return hio SWI status
+-- w: will drive SWI when swi_en=1
+--
+-- bbbbbb10 led r/w/- Interface to LED and DSP_DP
+-- 15:12 dp r/w/- r: returns DSP_DP status
+-- w: will drive display dp's when dp_en=1
+-- 7:00 led r/w/- r: returns LED status
+-- w: will drive led's when led_en=1
+--
+-- bbbbbb11 15:00 dsp r/w/- r: return hio DSP_DAT status
+-- w: will drive DSP_DAT when dsp_en=1
+--
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+use work.slvtypes.all;
+use work.rblib.all;
+use work.bpgenlib.all;
+
+-- ----------------------------------------------------------------------------
+
+entity sn_humanio_demu_rbus is -- human i/o swi,btn,led only /w rbus
+ generic (
+ DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN
+ RB_ADDR : slv8 := slv(to_unsigned(2#10000000#,8)));
+ port (
+ CLK : in slbit; -- clock
+ RESET : in slbit := '0'; -- reset
+ CE_MSEC : in slbit; -- 1 ms clock enable
+ RB_MREQ : in rb_mreq_type; -- rbus: request
+ RB_SRES : out rb_sres_type; -- rbus: response
+ SWI : out slv8; -- switch settings, debounced
+ BTN : out slv4; -- button settings, debounced
+ LED : in slv8; -- led data
+ DSP_DAT : in slv16; -- display data
+ DSP_DP : in slv4; -- display decimal points
+ I_SWI : in slv8; -- pad-i: switches
+ I_BTN : in slv6; -- pad-i: buttons
+ O_LED : out slv8 -- pad-o: leds
+ );
+end sn_humanio_demu_rbus;
+
+architecture syn of sn_humanio_demu_rbus is
+
+ type regs_type is record
+ rbsel : slbit; -- rbus select
+ swi : slv8; -- rbus swi
+ btn : slv4; -- rbus btn
+ led : slv8; -- rbus led
+ dsp_dat : slv16; -- rbus dsp_dat
+ dsp_dp : slv4; -- rbus dsp_dp
+ ledin : slv8; -- led from design
+ swieff : slv8; -- effective swi
+ btneff : slv4; -- effective btn
+ ledeff : slv8; -- effective led
+ dpeff : slv4; -- effective dsp_dp
+ dateff : slv16; -- effective dsp_dat
+ swi_en : slbit; -- enable: swi from rbus
+ led_en : slbit; -- enable: led from rbus
+ dsp_en : slbit; -- enable: dsp_dat from rbus
+ dp_en : slbit; -- enable: dsp_dp from rbus
+ end record regs_type;
+
+ constant regs_init : regs_type := (
+ '0', -- rbsel
+ (others=>'0'), -- swi
+ (others=>'0'), -- btn
+ (others=>'0'), -- led
+ (others=>'0'), -- dsp_dat
+ (others=>'0'), -- dsp_dp
+ (others=>'0'), -- ledin
+ (others=>'0'), -- swieff
+ (others=>'0'), -- btneff
+ (others=>'0'), -- ledeff
+ (others=>'0'), -- dpeff
+ (others=>'0'), -- dateff
+ '0','0','0','0' -- (swi|led|dsp|dp)_en
+ );
+
+ signal R_REGS : regs_type := regs_init; -- state registers
+ signal N_REGS : regs_type := regs_init; -- next value state regs
+
+ subtype cntl_rbf_btn is integer range 11 downto 8;
+ constant cntl_rbf_dsp_en: integer := 3;
+ constant cntl_rbf_dp_en: integer := 2;
+ constant cntl_rbf_led_en: integer := 1;
+ constant cntl_rbf_swi_en: integer := 0;
+ subtype led_rbf_dp is integer range 15 downto 12;
+ subtype led_rbf_led is integer range 7 downto 0;
+
+ constant rbaddr_cntl: slv2 := "00"; -- 0 r/w/-
+ constant rbaddr_swi: slv2 := "01"; -- 1 r/w/-
+ constant rbaddr_led: slv2 := "10"; -- 2 r/w/-
+ constant rbaddr_dsp: slv2 := "11"; -- 3 r/w/-
+
+ signal HIO_SWI : slv8 := (others=>'0');
+ signal HIO_BTN : slv4 := (others=>'0');
+ signal HIO_LED : slv8 := (others=>'0');
+ signal HIO_DSP_DAT : slv16 := (others=>'0');
+ signal HIO_DSP_DP : slv4 := (others=>'0');
+
+begin
+
+ HIO : sn_humanio_demu
+ generic map (
+ DEBOUNCE => DEBOUNCE)
+ port map (
+ CLK => CLK,
+ RESET => RESET,
+ CE_MSEC => CE_MSEC,
+ SWI => HIO_SWI,
+ BTN => HIO_BTN,
+ LED => HIO_LED,
+ DSP_DAT => HIO_DSP_DAT,
+ DSP_DP => HIO_DSP_DP,
+ I_SWI => I_SWI,
+ I_BTN => I_BTN,
+ O_LED => O_LED
+ );
+
+ proc_regs: process (CLK)
+ begin
+
+ if rising_edge(CLK) then
+ if RESET = '1' then
+ R_REGS <= regs_init;
+ else
+ R_REGS <= N_REGS;
+ end if;
+ end if;
+
+ end process proc_regs;
+
+ proc_next: process (R_REGS, RB_MREQ, LED, DSP_DAT, DSP_DP,
+ HIO_SWI, HIO_BTN, HIO_DSP_DAT, HIO_DSP_DP)
+
+ variable r : regs_type := regs_init;
+ variable n : regs_type := regs_init;
+
+ variable irb_ack : slbit := '0';
+ variable irb_busy : slbit := '0';
+ variable irb_err : slbit := '0';
+ variable irb_dout : slv16 := (others=>'0');
+ variable irbena : slbit := '0';
+
+ begin
+
+ r := R_REGS;
+ n := R_REGS;
+
+ irb_ack := '0';
+ irb_busy := '0';
+ irb_err := '0';
+ irb_dout := (others=>'0');
+
+ irbena := RB_MREQ.re or RB_MREQ.we;
+
+ -- input register for LED signal
+ n.ledin := LED;
+
+ -- rbus address decoder
+ n.rbsel := '0';
+ if RB_MREQ.aval='1' and RB_MREQ.addr(7 downto 2)=RB_ADDR(7 downto 2) then
+ n.rbsel := '1';
+ end if;
+
+ -- rbus transactions
+ if r.rbsel = '1' then
+ irb_ack := irbena; -- ack all accesses
+
+ case RB_MREQ.addr(1 downto 0) is
+
+ when rbaddr_cntl =>
+ irb_dout(cntl_rbf_btn) := HIO_BTN;
+ irb_dout(cntl_rbf_dsp_en) := r.dsp_en;
+ irb_dout(cntl_rbf_dp_en) := r.dp_en;
+ irb_dout(cntl_rbf_led_en) := r.led_en;
+ irb_dout(cntl_rbf_swi_en) := r.swi_en;
+ if RB_MREQ.we = '1' then
+ n.btn := RB_MREQ.din(cntl_rbf_btn);
+ n.dsp_en := RB_MREQ.din(cntl_rbf_dsp_en);
+ n.dp_en := RB_MREQ.din(cntl_rbf_dp_en);
+ n.led_en := RB_MREQ.din(cntl_rbf_led_en);
+ n.swi_en := RB_MREQ.din(cntl_rbf_swi_en);
+ end if;
+
+ when rbaddr_swi =>
+ irb_dout(HIO_SWI'range) := HIO_SWI;
+ if RB_MREQ.we = '1' then
+ n.swi := RB_MREQ.din(n.swi'range);
+ end if;
+
+ when rbaddr_led =>
+ irb_dout(led_rbf_dp) := HIO_DSP_DP;
+ irb_dout(led_rbf_led) := r.ledin;
+ if RB_MREQ.we = '1' then
+ n.dsp_dp := RB_MREQ.din(led_rbf_dp);
+ n.led := RB_MREQ.din(led_rbf_led);
+ end if;
+
+ when rbaddr_dsp =>
+ irb_dout := HIO_DSP_DAT;
+ if RB_MREQ.we = '1' then
+ n.dsp_dat := RB_MREQ.din;
+ end if;
+
+ when others => null;
+ end case;
+
+ end if;
+
+ n.btneff := HIO_BTN or r.btn;
+
+ if r.swi_en = '0' then
+ n.swieff := HIO_SWI;
+ else
+ n.swieff := r.swi;
+ end if;
+
+ if r.led_en = '0' then
+ n.ledeff := r.ledin;
+ else
+ n.ledeff := r.led;
+ end if;
+
+ if r.dp_en = '0' then
+ n.dpeff := DSP_DP;
+ else
+ n.dpeff := r.dsp_dp;
+ end if;
+
+ if r.dsp_en = '0' then
+ n.dateff := DSP_DAT;
+ else
+ n.dateff := r.dsp_dat;
+ end if;
+
+ N_REGS <= n;
+
+ BTN <= R_REGS.btneff;
+ SWI <= R_REGS.swieff;
+ HIO_LED <= R_REGS.ledeff;
+ HIO_DSP_DP <= R_REGS.dpeff;
+ HIO_DSP_DAT <= R_REGS.dateff;
+
+ RB_SRES <= rb_sres_init;
+ RB_SRES.ack <= irb_ack;
+ RB_SRES.busy <= irb_busy;
+ RB_SRES.err <= irb_err;
+ RB_SRES.dout <= irb_dout;
+
+ end process proc_next;
+
+end syn;
Index: rtl/bplib/bpgen/bpgenlib.vhd
===================================================================
--- rtl/bplib/bpgen/bpgenlib.vhd (revision 17)
+++ rtl/bplib/bpgen/bpgenlib.vhd (revision 18)
@@ -1,6 +1,6 @@
--- $Id: bpgenlib.vhd 426 2011-11-18 18:14:08Z mueller $
+-- $Id: bpgenlib.vhd 472 2013-01-06 14:39:10Z mueller $
--
--- Copyright 2011- by Walter F.J. Mueller
+-- Copyright 2011-2013 by Walter F.J. Mueller
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
@@ -16,9 +16,10 @@
-- Description: Generic Board/Part components
--
-- Dependencies: -
--- Tool versions: 12.1; ghdl 0.26-0.29
+-- Tool versions: 12.1, 13.3; ghdl 0.26-0.29
-- Revision History:
-- Date Rev Version Comment
+-- 2013-01-06 472 1.0.7 add sn_humanio_demu_rbus
-- 2011-11-16 426 1.0.6 now numeric_std clean
-- 2011-10-10 413 1.0.5 add sn_humanio_demu
-- 2011-08-07 404 1.0.4 add RELAY generic for bp_rs232_2l4l_iob
@@ -197,4 +198,25 @@
);
end component;
+component sn_humanio_demu_rbus is -- human i/o swi,btn,led only /w rbus
+ generic (
+ DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN
+ RB_ADDR : slv8 := slv(to_unsigned(2#10000000#,8)));
+ port (
+ CLK : in slbit; -- clock
+ RESET : in slbit := '0'; -- reset
+ CE_MSEC : in slbit; -- 1 ms clock enable
+ RB_MREQ : in rb_mreq_type; -- rbus: request
+ RB_SRES : out rb_sres_type; -- rbus: response
+ SWI : out slv8; -- switch settings, debounced
+ BTN : out slv4; -- button settings, debounced
+ LED : in slv8; -- led data
+ DSP_DAT : in slv16; -- display data
+ DSP_DP : in slv4; -- display decimal points
+ I_SWI : in slv8; -- pad-i: switches
+ I_BTN : in slv6; -- pad-i: buttons
+ O_LED : out slv8 -- pad-o: leds
+ );
+end component;
+
end package bpgenlib;
/rtl/bplib/bpgen/sn_humanio_demu_rbus.vbom
0,0 → 1,8
# libs |
../../vlib/slvtypes.vhd |
../../vlib/rbus/rblib.vhd |
bpgenlib.vbom |
# components |
sn_humanio_demu.vbom |
# design |
sn_humanio_demu_rbus.vhd |
/rtl/bplib/atlys/atlys_time_fx2_ic.ucf
0,0 → 1,17
## $Id: atlys_time_fx2_ic.ucf 471 2013-01-05 19:46:38Z mueller $ |
## |
## Revision History: |
## Date Rev Version Comment |
## 2013-01-05 471 1.0 Initial version (copied from nexys3) |
## |
## timing rules for a 30 MHz internal clock design: |
## Period: 30 MHz |
## clk->out: longest setup time in FX2 is t_SRD (clk->SLRD) of 18.7 ns |
## clk->out < 33.3-18.7 = 14.6 ns |
## --> use 10 ns |
## |
|
NET "I_FX2_IFCLK" TNM_NET = "I_FX2_IFCLK"; |
TIMESPEC "TS_I_FX2_IFCLK" = PERIOD "I_FX2_IFCLK" 33.34 ns HIGH 50 %; |
OFFSET = IN 2 ns BEFORE "I_FX2_IFCLK"; |
OFFSET = OUT 10 ns AFTER "I_FX2_IFCLK"; |
/rtl/bplib/atlys/atlys_pins_fx2.ucf
0,0 → 1,36
## $Id: atlys_pins_fx2.ucf 471 2013-01-05 19:46:38Z mueller $ |
## |
## Revision History: |
## Date Rev Version Comment |
## 2013-01-05 471 1.0 Initial version |
## |
## Cypress EZ-USB FX2 Interface -- in Bank 0 --------------------------------- |
## |
## |
NET "I_FX2_IFCLK" LOC = "c10" | IOSTANDARD=LVCMOS33; |
## |
NET "IO_FX2_DATA<0>" LOC = "a2" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<1>" LOC = "d6" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<2>" LOC = "c6" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<3>" LOC = "b3" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<4>" LOC = "a3" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<5>" LOC = "b4" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<6>" LOC = "a4" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<7>" LOC = "c5" | IOSTANDARD=LVCMOS33; |
NET "IO_FX2_DATA<*>" DRIVE=12 | SLEW=FAST | KEEPER; |
## |
NET "O_FX2_SLWR_N" LOC = "e13" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
NET "O_FX2_SLRD_N" LOC = "f13" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
NET "O_FX2_SLOE_N" LOC = "a15" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
## |
NET "O_FX2_PKTEND_N" LOC = "c4" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
## |
NET "O_FX2_FIFO<0>" LOC = "a14" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
NET "O_FX2_FIFO<1>" LOC = "b14" | IOSTANDARD=LVCMOS33 | DRIVE=12 | SLEW=FAST; |
## |
## assume that PA.7 is used as FLAGD (and not as SLCS#) |
NET "I_FX2_FLAG<0>" LOC = "b9" | IOSTANDARD=LVCMOS33; ## flag a (program) |
NET "I_FX2_FLAG<1>" LOC = "a9" | IOSTANDARD=LVCMOS33; ## flag b (full) |
NET "I_FX2_FLAG<2>" LOC = "c15" | IOSTANDARD=LVCMOS33; ## flag c (empty) |
NET "I_FX2_FLAG<3>" LOC = "b2" | IOSTANDARD=LVCMOS33; ## flag d (slcs) |
## |
/rtl/vlib/rlink/tb/tbcore_rlink.vhd
1,6 → 1,6
-- $Id: tbcore_rlink.vhd 445 2011-12-26 21:19:26Z mueller $ |
-- $Id: tbcore_rlink.vhd 469 2013-01-05 12:29:44Z mueller $ |
-- |
-- Copyright 2010-2011 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- Copyright 2010-2013 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de> |
-- |
-- This program is free software; you may redistribute and/or modify it under |
-- the terms of the GNU General Public License as published by the Free |
23,6 → 23,7
-- Tool versions: xst 11.4, 13.1; ghdl 0.26-0.29 |
-- Revision History: |
-- Date Rev Version Comment |
-- 2013-01-04 469 3.1.2 use 1ns wait for .sinit to allow simbus debugging |
-- 2011-12-25 445 3.1.1 add SB_ init drivers to avoid SB_VAL='U' at start |
-- 2011-12-23 444 3.1 redo clock handling, remove simclk, CLK now input |
-- 2011-11-19 427 3.0.1 now numeric_std clean |
129,11 → 130,11
SB_ADDR <= iaddr; |
SB_DATA <= idata; |
SB_VAL <= 'H'; |
wait for 0 ns; |
wait for 1 ns; |
SB_VAL <= 'L'; |
SB_ADDR <= (others=>'L'); |
SB_DATA <= (others=>'L'); |
wait for 0 ns; |
wait for 1 ns; |
|
when others => -- bad command |
write(oline, string'("?? unknown command: ")); |
/rtl/make/generic_xflow.mk
1,7 → 1,8
# $Id: generic_xflow.mk 456 2012-02-05 22:19:44Z mueller $ |
# $Id: generic_xflow.mk 470 2013-01-05 17:28:46Z mueller $ |
# |
# Revision History: |
# Date Rev Version Comment |
# 2013-01-05 470 1.7.6 remove '-r' from all non-dir clean rm's |
# 2012-02-05 456 1.7.5 use vbomvonv --get_top for xflow calls |
# 2012-01-08 451 1.7.4 use xilinx_ghdl_sdf_filter |
# 2012-01-04 450 1.7.3 display isemsg_filter for ncd and bit targets too |
300,25 → 301,25
.PHONY : ise_clean ise_tmp_clean |
# |
ise_clean: ise_tmp_clean |
rm -rf *.ngc |
rm -rf *.ncd |
rm -rf *.pcf |
rm -rf *.bit |
rm -rf *.msk |
rm -rf *.svf |
rm -rf *_[sft]sim.vhd |
rm -rf *_tsim.sdf |
rm -rf *_tsim.sdf_ghdl |
rm -rf *_xst.log |
rm -rf *_tra.log |
rm -rf *_map.log |
rm -rf *_par.log |
rm -rf *_pad.log |
rm -rf *_twr.log |
rm -rf *_bgn.log |
rm -rf *_ngn_[sft]sim.log |
rm -rf *_svn.log |
rm -rf *_sum.log |
rm -f *.ngc |
rm -f *.ncd |
rm -f *.pcf |
rm -f *.bit |
rm -f *.msk |
rm -f *.svf |
rm -f *_[sft]sim.vhd |
rm -f *_tsim.sdf |
rm -f *_tsim.sdf_ghdl |
rm -f *_xst.log |
rm -f *_tra.log |
rm -f *_map.log |
rm -f *_par.log |
rm -f *_pad.log |
rm -f *_twr.log |
rm -f *_bgn.log |
rm -f *_ngn_[sft]sim.log |
rm -f *_svn.log |
rm -f *_sum.log |
# |
ise_tmp_clean: |
rm -rf ./ise |
/rtl/make/generic_xflow_cpld.mk
1,7 → 1,8
# $Id: generic_xflow_cpld.mk 405 2011-08-14 08:16:28Z mueller $ |
# $Id: generic_xflow_cpld.mk 470 2013-01-05 17:28:46Z mueller $ |
# |
# Revision History: |
# Date Rev Version Comment |
# 2013-01-05 470 1.1.1 remove '-r' from all non-dir clean rm's |
# 2011-08-13 405 1.1 renamed, moved to rtl/make; |
# 2010-03-13 268 1.0 Initial version, cloned from .xflow Rev 252 |
#--- |
116,14 → 117,14
.PHONY : ise_clean ise_tmp_clean |
# |
ise_clean: ise_tmp_clean |
rm -rf *.ngc |
rm -rf *.ncd |
rm -rf *.jed |
rm -rf *_xst.log |
rm -rf *_tra.log |
rm -rf *_fit.log |
rm -rf *_tim.log |
rm -rf *_pad.log |
rm -f *.ngc |
rm -f *.ncd |
rm -f *.jed |
rm -f *_xst.log |
rm -f *_tra.log |
rm -f *_fit.log |
rm -f *_tim.log |
rm -f *_pad.log |
# |
ise_tmp_clean: |
rm -rf ./ise |
/doc/w11a_os_guide.txt
1,4 → 1,4
# $Id: w11a_os_guide.txt 442 2011-12-23 10:03:28Z mueller $ |
# $Id: w11a_os_guide.txt 469 2013-01-05 12:29:44Z mueller $ |
|
Guide to run operating system images on w11a systems |
|
52,7 → 52,7
3. Unix V5 system --------------------------------------------------------- |
|
- A disk set is available from |
http://www-linux.gsi.de/~mueller/retro/oc_w11/data/unix_v5_rkset.tgz |
http://www.retro11.de/data/oc_w11/unix_v5_rkset.tgz |
Download, unpack and copy the disk images (*.dsk) to |
$RETROBASE/rtl/sys_gen/w11a/tb |
|
97,7 → 97,7
|
4. 2.11BSD system --------------------------------------------------------- |
- A disk set is available from |
http://www-linux.gsi.de/~mueller/retro/oc_w11/data/211bsd_rkset.tgz |
http://www.retro11.de/data/oc_w11/211bsd_rkset.tgz |
Download, unpack and copy the disk images (*.dsk) to |
$RETROBASE/rtl/sys_gen/w11a/tb |
|
/doc/README.txt
1,4 → 1,4
# $Id: README.txt 467 2013-01-02 19:49:05Z mueller $ |
# $Id: README.txt 472 2013-01-06 14:39:10Z mueller $ |
|
Release notes for w11a |
|
40,7 → 40,7
rtl/sys_gen/tst_rlink - top level designs for an rlink tester |
nexys2,nexys3,s3board - systems for Nexsy2,Nexsy3,S3BOARD |
rtl/sys_gen/tst_rlink_cuff - top level designs for rlink over FX2 tester |
nexys2 - systems for Nexsy2 |
nexys2,nexys3,atlys - systems for Atlys,Nexsy2,Nexsy3 |
rtl/sys_gen/tst_serloop - top level designs for serport loop tester |
nexys2,nexys3,s3board - systems for Nexsy2,Nexsy3,S3BOARD |
rtl/sys_gen/tst_snhumanio - top level designs for human I/O tester |
75,6 → 75,26
|
3. Change Log ---------------------------------------------------------------- |
|
- trunk (2013-01-06: svn rev 18(oc) 472(wfjm); untagged w11a_V0.561) +++++++++ |
|
- Summary |
- Added simple simulation model of Cypress FX2 and test benches for |
functional verifcation of FX2 controller |
- Bugfixes in FX2 firmware and controller, works now also on Nexys3 & Atlys |
- Added test systems for rlink over USB verification for Nexys3 & Atlys |
|
- New features |
- new test benches |
- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb/tb_tst_rlink_cuff_ic_n2 |
- new systems |
- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/sys_tst_rlink_cuff_ic_n3 |
- rtl/sys_gen/tst_rlink_cuff/nexys2/ic/sys_tst_rlink_cuff_ic_atlys |
|
- Bug fixes |
- tools/fx2/src: FX2 firmware now properly re-initializes hardware registers |
and will work on Nexys3 and Atlys boards with default Digilent EPROM |
- rtl/bplib/fx2lib: read pipeline logic in FX2 controller corrected |
|
- trunk (2013-01-02: svn rev 17(oc) 467(wfjm); untagged w11a_V0.56) ++++++++++ |
|
- Summary |
89,7 → 109,7
in the file README_USB-VID-PID.txt. You'll be responsible for any |
misuse of the defaults provided with the project sources !! |
|
- New refernce system |
- New reference system |
The development and test system was upgraded from Kubuntu 10.04 to 12.04. |
The version of several key tools and libraries changed: |
linux kernel 3.2.0 (was 2.6.32) |
/Makefile
1,4 → 1,4
# $Id: Makefile 466 2012-12-30 13:26:55Z mueller $ |
# $Id: Makefile 472 2013-01-06 14:39:10Z mueller $ |
# |
# 'Meta Makefile' for whole retro project |
# allows to make all synthesis targets |
19,6 → 19,9
SYN_all += rtl/sys_gen/tst_rlink/nexys3 |
SYN_all += rtl/sys_gen/tst_rlink/s3board |
SYN_all += rtl/sys_gen/tst_rlink_cuff/nexys2/ic |
SYN_all += rtl/sys_gen/tst_rlink_cuff/nexys2/ic3 |
SYN_all += rtl/sys_gen/tst_rlink_cuff/nexys3/ic |
SYN_all += rtl/sys_gen/tst_rlink_cuff/atlys/ic |
SYN_all += rtl/sys_gen/tst_serloop/nexys2 |
SYN_all += rtl/sys_gen/tst_serloop/nexys3 |
SYN_all += rtl/sys_gen/tst_serloop/s3board |
34,6 → 37,7
SIM_all += rtl/sys_gen/tst_rlink/nexys2/tb |
SIM_all += rtl/sys_gen/tst_rlink/nexys3/tb |
SIM_all += rtl/sys_gen/tst_rlink/s3board/tb |
SIM_all += rtl/sys_gen/tst_rlink_cuff/nexys2/ic/tb |
SIM_all += rtl/sys_gen/tst_serloop/nexys2/tb |
SIM_all += rtl/sys_gen/tst_serloop/nexys3/tb |
SIM_all += rtl/sys_gen/tst_serloop/s3board/tb |