OpenCores
URL https://opencores.org/ocsvn/wb_lpc/wb_lpc/trunk

Subversion Repositories wb_lpc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/trunk/examples/lpc_7seg/lpc_7seg.ucf
0,0 → 1,28
#PACE: Start of Constraints generated by PACE
 
#PACE: Start of PACE I/O Pin Assignments
NET "DISP_LED<0>" LOC = "AB20" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<1>" LOC = "AA20" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<2>" LOC = "V18" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<3>" LOC = "Y17" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<4>" LOC = "AB18" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<5>" LOC = "AA18" | IOSTANDARD = LVCMOS33 ;
NET "DISP_LED<6>" LOC = "W18" | IOSTANDARD = LVCMOS33 ;
NET "DISP_SEL<0>" LOC = "AA17" | IOSTANDARD = LVCMOS33 ;
NET "DISP_SEL<1>" LOC = "U17" | IOSTANDARD = LVCMOS33 ;
NET "DISP_SEL<2>" LOC = "U16" | IOSTANDARD = LVCMOS33 ;
NET "DISP_SEL<3>" LOC = "U14" | IOSTANDARD = LVCMOS33 ;
NET "LAD<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
NET "LAD<1>" LOC = "U5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
NET "LAD<2>" LOC = "V2" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
NET "LAD<3>" LOC = "V1" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ;
NET "LAD_OE" LOC = "T5" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 12 ;
NET "LFRAME" LOC = "W2" | IOSTANDARD = LVCMOS33 ;
NET "LPC_CLK" LOC = "W1" | IOSTANDARD = LVCMOS33 ;
NET "RST" LOC = "A19" | IOSTANDARD = PCI33_3 ;
 
#PACE: Start of PACE Area Constraints
 
#PACE: Start of PACE Prohibit Constraints
 
#PACE: End of Constraints generated by PACE
trunk/examples/lpc_7seg/lpc_7seg.ucf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg/lpc_7seg.bit =================================================================== --- trunk/examples/lpc_7seg/lpc_7seg.bit (nonexistent) +++ trunk/examples/lpc_7seg/lpc_7seg.bit (revision 7) @@ -0,0 +1,82 @@ + a +lpc_7seg.ncdb 3s400fg456c 2008/03/04d 15:19:25e=Uf00`D0 @?0A00 0 00@P0 W0aH0aHc  @&d@&d  @@ + +@!@H@@  + + + +@P0P 0p P +    0 0x$pH$H $@̈ + H    AP@!  +p @A@PJ0QT0 @@@@   0@ +ppt p p0X@@  H_̻Ȁ +N0xx0x0xHHH3N +"T3@@H p  # Db FD"AD @ ! @(D.H.0h @@0A  € +("I@@p@0Pņ+  ! ! @"  @  0nM  + + +P + + +00p  04 0  00  PA_̀?̌x 00 00$xxxH HH̊@"QQ 3@@0p@   bC@"`D   P@A +( H  0 + iHb'AR@q&@t +@8@@ @4#@AW$ p@EB` 8cC 9Ab0F0 UA KHD$G +@  +B@"" $4$"(,("P@  +` 0` + +0  T<p  00 0P 000p0@ @$p$p$p08$p00$x0$@$@ظ_ĨH  H 5$     " " AHA"@@(Pa +* P@0@!. ' ! A%@ (AA` 0C@Ъ0-haFL@h`Cs. ! @!2A!Q(@"@ D@@P P0p n0PZ0   0T + ,(4p00 |<  @ D @! A  D$pp$p00$p$p8$@$@$@$@$@D*0@ @@H  @@$I   @ ! @! 4@@ @ H@   +@C P4tx(@ & +I B " +"I !@(!@ @0p>0000 +q +P + +  0p 80<< 0000 @ +0ϯ "08$p0$p0$p00$@  $@P +"O@@ +  +  @$ P    #D@#  A   V`9`( @8 N`8  & +1@  @`@`4(( +0B) 4x@4 +@  +" "0! @`0h@ + Y + +j P\  00 00@ @@ + @$p$p$p$p$p$p$p$@$@$@$@  +H &H  H $     "P@0^: r,8, 08<5 +@*   + `@  +^0 PX0 00@ @  + $p$p   " + +0  000 j + +000 B  +00p0p$@$@  @ D@$P + !@00@4< + 0  ` +p 0 0 @ 0 +     0000xdH  ! 00 @@P0 0xx0Hg  @ @@@@"@b + B r#$ + B @@!@ +@0g @00 +PB  + 0@ p0000@000 p$p$@$@H  Á  @806 @ ` 0 @ @0  0   @ 0 0"<0mz $xx00 $@ $H3|=PH  CA"!@.0a@i0A.B( h@ @@@@P 0    000@0 0 + +@  00 +  $@ <0 +@ + 0 + 0" $@ @@ 0P0 A  +@@ + + " 0 +@ @@ >00 p p0p 0 0 +0p  @ 00ux0 +0 000_W0 + \ No newline at end of file
trunk/examples/lpc_7seg/lpc_7seg.bit Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg/lpc_7seg.ise =================================================================== --- trunk/examples/lpc_7seg/lpc_7seg.ise (nonexistent) +++ trunk/examples/lpc_7seg/lpc_7seg.ise (revision 7) @@ -0,0 +1,3332 @@ +PK + +__OBJSTORE__/PK +__OBJSTORE__/common/PK +'__OBJSTORE__/common/HierarchicalDesign/PK +T†~~0__OBJSTORE__/common/HierarchicalDesign/HDProject PK +^^7__OBJSTORE__/common/HierarchicalDesign/HDProject_StrTbl +14/lpc_7segTS_EXPANDEDTS_FRAGCOVEREDTS_PACKEDTS_ROUTEDTS_SYNTHESISlpc_7segPK +";<<+__OBJSTORE__/common/__stored_object_table__(:PK + __OBJSTORE__/HierarchicalDesign/PK +__OBJSTORE__/PnAutoRun/PK +__OBJSTORE__/PnAutoRun/Scripts/PK +>*__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tclPK +髭1__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTblnamespace eval xilinx { +namespace eval Dpm { +proc GetIseVersion {} { + set fsetName "fileset.txt" + set fsetPath "" + # Find the file in the Xilinx environment. + # First, construct the environment path. + set sep ":"; # Default to UNIX style seperator. + if {[string compare -length 7 $::tcl_platform(platform) "windows"] == 0} { + set sep ";"; # Platform is a Windows variant, so use semi-colon. + } + set xilinxPath $::env(XILINX) + if [info exists ::env(MYXILINX)] then { + set xilinxPath [join [list $::env(MYXILINX) $xilinxPath] $sep] + } + # Now look in each path of the path until we find a match. + foreach xilElem [split $xilinxPath $sep] { + set checkPath ${xilElem}/$fsetName + set checkPath [ string map { \\ / } $checkPath ] + if { [file exists $checkPath] } { + set fsetPath $checkPath + break + } + } + if { [string equal $fsetPath ""] } { + puts "ERROR: Can not determine the ISE software version." + return "" + } + if { [catch { open $fsetPath r } fset] } { + puts "ERROR: Could not open $fsetPath: $fset" + return "" + } + # have the file open, scan for the version entry. + set sVersion "" + while { ![eof $fset] } { + set line [gets $fset] + regexp {version=(.*)} $line match sVersion + # The above doesn't stop looking in the file. This assumes that if + # there are multiple version entries, the last one is the one we want. + } + close $fset + return $sVersion +} +proc CheckForIron {project_name} { + + # Determine if the currently running version of ProjNav is earlier than Jade. + set version [GetIseVersion] + set dotLocation [string first "." $version] + set versionBase [string range $version 0 [expr {$dotLocation - 1}]] + if {$versionBase < 9} { + + # The project file is newer than Iron, so take action to prevent the + # file from being corrupted. + # Make the file read-only. + if {[string compare -length 7 $::tcl_platform(platform) "windows"]} { + # The above will return 0 for a match to "windows" or "windows64". + # This is the non-zero part of the if, for lin and sol. + # Change the permissions to turn off writability. + file attributes $project_name -permissions a-w + } else { + # On Windows, set file to read-only. + file attributes $project_name -readonly 1 + } + + # And tell the user about it. + set messageText "WARNING: This project was last saved with a newer version of Project Navigator.\nThe project file will be made read-only so that it will not be invalidated by this version." + # In the console window + puts $messageText + # And with a GUI message box if possible. + ::xilinx::Dpm::TOE::loadGuiLibraries + set iInterface 0 + set messageDisplay 0 + if {[catch { + set iInterface [Xilinx::CitP::GetInstance $::xilinx::GuiI::IMessageDlgID] + set messageDisplay [$iInterface GetInterface $::xilinx::GuiI::IMessageDlgID] + if {$messageDisplay != 0} { + # Managed to get a component to display a dialog, so use it + set messageTitle "Incompatible Project Version (Newer)" + set messageType 2 + # 2 corresponds to a warning dialog. TclWrapGuiI_Init.cpp doesn't put the enum into Tcl. + set messageTimeout 300000 + # in milliseconds, 5 minutes + set messageReturn [$messageDisplay MessageDlg $messageTitle $messageText $messageType 1 1 $messageTimeout "OK" "" ""] + } + } catchResult]} { + # There was an error, probably because we aren't in a GUI enviroment. + } else { + # All is well. + } + set messageDisplay 0 + set iInterface 0 + } + + return 1 +} +} +} +::xilinx::Dpm::CheckForIronPK +__OBJSTORE__/ProjectNavigator/PK +/__OBJSTORE__/ProjectNavigator/dpm_project_main/PK +dVV?__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_mainEBPK +Ե]88F__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbllpc_7segacr2spartan3virtex2pxbrxc9500xlPK +ۙ0__OBJSTORE__/ProjectNavigator/__stored_objects__ +   +p !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~+ + +`_^edXVYWcbzyxw[\Z]vutfa 7 +6nrqpomsl Highkjq#/-$0_^.2134HGFEDCBA@ji>hg<;:98765]\[ZXVUTSRQPOMKJIvutsrqponmlkfe+dc)ba`&%  +~ } | +{zyxw{  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~           +   +                    ! " # $ % & ' ( ) * + , - . / 0 1 2 3 4 5 6 7 8 9 : ; < = > ? @ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z [ \ ] ^ _ ` a b c d e f g h i j k l m n o p q r s t u v w x y z { | } ~                                                                                                                                  + + + + + + + + + +  + + +  +  + + + + + + + + + + + + + + + + + + + + +  +! +" +# +$ +% +& +' +( +) +* ++ +, +- +. +/ +0 +1 +2 +3 +4 +5 +6 +7 +8 +9 !"#$%&'()*+,-. +/0 12 34 +56 789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~    +: +; +<DzGAT0wI=^M|37KYJBGM +9l~/;^xETL Q ~+=c-1H5'9Z>?@A\SC 2tCWJ +? Pf x + O E)f + +H (y dOXK5UI[hsvn`]7pu81l4N`Lioqbzj)r3|+v !"@:<'; += +> +=# +=GY$ += +> += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +A +?% +=# +A& +AGY q' +A +?GY$ ( +? +@)* +@ +BGY$ + +B +C,-./0123456789:;<=>?@ABCDE +D +EGYa`F +E +F +G +H +I +J +K +L +M +N +O +P +Q +R +S +T +U +D +V +W +X +Y +Z +[ +\ +] +C +@ += +> +=# += +> +=# +? +@ += +> +?% +=# +? +@ += +> +?% +=# += +> +=# +? +@ += +> +A +?% +=# +A& +? +@ += +> +?% +=# += +> +=# += +> +=# +? +@ += +> +?% +=# +? +@ += +> +?% +=# += +> +=# += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +A +?% +=# +A& += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +?% +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +A +?% +=# +A& += +> +=# += +> +=# +^G +_G +`G +aG +bG +^ +c +_ +c +` +c +a +c +b +c += +> +=# +? +@ += +> +?% +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# += +> +=# +? +@ += +> +A +?% +=# +A& +? +@ += +> +A +?% +=# +A& += +> +=# +? +@ += +> +?% +=# += +> +? +@ += +> +A +? +d += +> +AHIJ +eG_xmsgs/bitgen.xmsgs +_KL +fMg<NOPQRS +gMG0@J +h +gTU +h +hV +i +MWXg<NOPQRS +gXG0@I +h +gTY +h +hV +i +XZ[g<NOPQRS +g[G0@H +h +gT\ +h +hV +i +[]^_` +jGlpc_7seg.bgn +_ab +kcg<NOPQRS +lcG@` +m +lTd +m +me +n +cfgg<NOPQRS +lgG@_ +m +lTh +m +me +n +gig<NOPQRS +lG@^ +m +lTj +m +me +n +klmn +oGlpc_7seg.bit +_op +pqg<NOPQRS +qqG@@n +r +qTr +r +rs +s +qtug<NOPQRS +quG@@m +r +qTv +r +rs +s +uwg<NOPQRS +qG@@l +r +qTx +r +rs +s +yz{| +tGlpc_7seg.drc +_}~ +ug<NOPQRS +vGL(@| +w +vT +w +w +x +g<NOPQRS +vGL(@{ +w +vT +w +w +x +g<NOPQRS +vGL(@z +w +vT +w +w +x + +yG_xmsgs/trce.xmsgs +_L +fg<NOPQRS +zG @ +{ +zT +{ +{V +i +g<NOPQRS +zG @ +{ +zT +{ +{V +i +g<NOPQRS +zGb@ +{ +zT +{ +{V +i + +|Glpc_7seg.twx +_ +}g<NOPQRS +~Gl@ + +~T + + + +g<NOPQRS +~Gl@ + +~T + + + +/g<NOPQRS +~G1@ + +~T + + + + +Glpc_7seg.twr +_ +g<NOPQRS +G;@ + +T + + + +g<NOPQRS +G;@ + +T + + + +/g<NOPQRS +G;@ + +T + + + + +G_xmsgs/par.xmsgs +_L +fg<NOPQRS +GkH@ + +T + +V +i +g<NOPQRS +GkH@ + +T + +V +i +g<NOPQRS +GkH@ + +T + +V +i + +Glpc_7seg_pad.csv +_ +g<NOPQRS +G:@ + +T + + + +g<NOPQRS +G:@ + +T + + + +g<NOPQRS +G:@ + +T + + + + +Glpc_7seg_pad.txt +_ +g<NOPQRS +G@ + +T + + + + +G@ + +G@ +g<NOPQRS +G@ + +T + + + + +G + +G@ +g<NOPQRS +G@ + +T + + + + +G + +G@ + +Glpc_7seg.xpi +_ +g<NOPQRS +G +@ + +T + + + +g<NOPQRS +G +@ + +T + + + +g<NOPQRS +G +@ + +T + + + + +Glpc_7seg.unroutes +_ +g<NOPQRS +G c@ + +T + + + +g<NOPQRS +G c@ + +T + + + +g<NOPQRS +G c@ + +T + + + + +Glpc_7seg.par +_ +g<NOPQRS +G 30@ + +T + + + +  + + G q  + + +G q +g<NOPQRS +G 30@ + +T + + + + +G q + + +G q +g<NOPQRS +G 30@ + +T + + + + +G q + + +G q + +Glpc_7seg.pad +_ +g<NOPQRS +G +{@ + +T + + + +!"g<NOPQRS +"G +{@ + +T# + + + +"$%g<NOPQRS +%G +{@ + +T& + + + +%'()* +Glpc_7seg.ncd ++, +-g<NOPQRS +-G h * +./0 + +-1g<NOPQRS +G h ) +.20 + +34g<NOPQRS +4G h ( +.50 + +46789 +Glpc_7seg_usage.xml +_:; +<g<NOPQRS +<G?T@9 + +T= + +> + +<?@g<NOPQRS +@G?T@8 + +TA + +> + +@BCg<NOPQRS +CG?T@7 + +TD + +> + +CEFGH +G_xmsgs/map.xmsgs +_IL +fJg<NOPQRS +JG:@H + +TK + +V +i +JLMg<NOPQRS +MG:@G + +TN + +V +i +MOPg<NOPQRS +PG:@F + +TQ + +V +i +PRSTU +Glpc_7seg_map.ngm +VW +Xg<NOPQRS +XGL( U +YZ[ + +X\g<NOPQRS +GL( T +Y][ + +^_ +g<NOPQRS +_GL( S +Y`[ + +_abcd +Glpc_7seg.pcf +_ef +gg<NOPQRS +gG +Y@d + +Th + +i + +gjg<NOPQRS +G +Y@c + +Tk + +i + +lmg<NOPQRS +mG +Y@b + +Tn + +i + +mopqr +Glpc_7seg_map.mrp +_st +ug<NOPQRS +uG c@r + +Tv + +w + +uxg<NOPQRS +G c@q + +Ty + +w + +z{g<NOPQRS +{G c@p + +T| + +w + +{}~ +Glpc_7seg_map.ncd +, +g<NOPQRS +G H  +.0 + +g<NOPQRS +G H  +.0 + +g<NOPQRS +G H ~ +.0 + + +G_xmsgs/ngdbuild.xmsgs +_L +fg<NOPQRS +G@ + +T + +V +i +g<NOPQRS +G@ + +T + +V +i +g<NOPQRS +G@ + +T + +V +i + +G_ngo +_ +g<NOPQRS +G @ + +T + + + +g<NOPQRS +G @ + +T + + + +g<NOPQRS +G @ + +T + + + + +G_ngo/netlist.lst +_ +g<NOPQRS +G$ @ + +T + + + +g<NOPQRS +G$ @ + +T + + + +g<NOPQRS +G$ @ + +T + + + + +Glpc_7seg.bld +_ +g<NOPQRS +Gl@ + +T + + + +g<NOPQRS +Gl@ + +T + + + +g<NOPQRS +Gl@ + +T + + + + +Glpc_7seg.ngd + +g<NOPQRS +G  + + +g<NOPQRS +G  + + + +g<NOPQRS +G  + + + +G_xmsgs/xst.xmsgs +_L +fg<NOPQRS +G@ + +T + +V +i +g<NOPQRS +G@ + +T + +V +i +g<NOPQRS +G@ + +T + +V +i + +Glpc_7seg.cmd_log +_ +g<NOPQRS +G?۸@ + +T + + + +g<NOPQRS +G?۸@ + +T + + + +g<NOPQRS +G?۸@ + +T + + + + +Gxst +_ +g<NOPQRS +G @ + +T + + + +g<NOPQRS +G @ + +T + + + +g<NOPQRS +G @ + +T + + + + +Glpc_7seg.ngr + +g<NOPQRS +G c  + + +g<NOPQRS +G c  + + + +g<NOPQRS +G c  + + +  + +Glpc_7seg.ngc +  +g<NOPQRS +G q   + + +g<NOPQRS +G q  + + + + +g<NOPQRS +G q   + + + +Glpc_7seg_vhdl.prj +_ +g<NOPQRS +G +{@ + +T + + + + g<NOPQRS +G +{@ + +T! + + + +"#g<NOPQRS +#G +{@ + +T$ + + + +#%&'( +Glpc_7seg.prj +_) +*g<NOPQRS +*G J@( + +T+ + + + +*,g<NOPQRS +G J@' + +T- + + + +./g<NOPQRS +/G J@& + +T0 + + + +/1234 +Glpc_7seg.syr +_56 +7g<NOPQRS +7G8@4 + +T8 + +9 + +7:g<NOPQRS +G8@3 + +T; + +9 + +<=g<NOPQRS +=G8@2 + +T> + +9 + +=?@AB +Glpc_7seg.lso +_CD Eg<NOPQRS EG@B  TF  G  +EHg<NOPQRS G@A  TI  G  +JKg<NOPQRS KG@@  TL  G  +KMNOP Glpc_7seg.xst +_QR Sg<NOPQRS SG1@P  TT  U  +SVg<NOPQRS G1@O  TW  U  +XYg<NOPQRS YG1@N  TZ  U  +Y[\]^ Glpc_7seg.stx +__` +ag<NOPQRS aG@@^ Tb c +adg<NOPQRS G@@] Te c +fgg<NOPQRS gG@@\ Th c +gijkl Glpc_7seg_guide.ncd +m, +ng<NOPQRS +nGP l +.o0 + +npqg<NOPQRS +qGP k +.r0 + +qstg<NOPQRS +tGP j +.u0 + +tvwxy G̲Pwb_7seg.vhd +bz{   |}~g<NOPQRS    | } ~ G̲P (y  0    +G̰0    G̲P (y     +G̰0y    !G̰0y "   # !G̰0y $   % !G̰0 y   g<NOPQRS       G̲PАx  0    +G̰0    G̲PАx     +G̰0x    !G̰0x "   # !G̰0x $   % !G̰0 x   "!g<NOPQRS !  "    G̲PАw  0    +!G̰0!    G̲PАw     +"G̰0w    !G̰0w "   # !G̰0w $   % !G̰0 w   &D@adisp_dec.vhd +b{  + +g<NOPQRS ' (    G̰ J ) '& )   +G̰ J  (   +G̰ h    !G̰ h "   # !G̰ h $   % !G̰ h    +g<NOPQRS ' (    G̰ J ) '& )   +G̰ J  (   +G̰ h    !G̰ h "   # !G̰ h $   % !G̰ h    +$#g<NOPQRS '# ($    G̰ h ) '& )   +#G̰ h  (   +$G̰ h    !G̰ h "   # !G̰ h $   % !G̰ h    *G}C:/hharte/work/HarteTec/cores/wb_lpc/rtl/verilog/wb_lpc_periph.v +a +  g<NOPQRS ,G~  - ,7 - . + g<NOPQRS ,G~  - ,7 - . + %g<NOPQRS ,%G~  - ,7 - . +% /GͲlpc_7seg.ucf 0 1g<NOPQRS 2GͲx  3 2 3 4 +g<NOPQRS 2GͲx  3 2 3 4 + g<NOPQRS 2 GͲ  3 2 3 4 +  5Gӎtop_lpc_7seg.v +a +g<NOPQRS 6 7 +G~L(  +( . +G̳kI 8 7i 8 G̳kI 9 6T 9 -g<NOPQRS 6 7 +G~L(  +( . +G̳kI 8 7i 8 G̳kI 9 6T 9 -g<NOPQRS 6 7 +G~L(  +( . +G̳kI 8 7i 8 G̳kI 9 6T 9 - : ; # <N}G̰ +% Gؖ + = : > #&% ?N|G̰ + Gؖ + @ : A # BNOG̰ + +Gؖ + CF  + *%  + !"#$%&'()*+,-./0123456789:;<=>?@A&BCDEF +Gؖ +G D +Gؖ +H E :I F JKL#MN GO{OG̰ +KPQRSTUVWXYZ[\]^_ G:` H Ia J Kbcd KOPefgG̰ +Jf +Gؖ +h LJe +Gؖ +i MJ *%  +jklmnopqrst Gؖ +u N :v O Jwxyz{|}~# PPzG̰ +~g Gؖ + Q : R ~# SPyG̰ +}%g Gؖ + T : U }# VPxG̰ +|g Gؖ + W : X |# YPuG̰ +Y{QRSTVWXYZ\]^_g Gؖ + Z I [ { \uwG̰ + +Gؖ + ] +Gؖ + ^ : _  `uvG̰ + +Gؖ + ^ : a  bPtG̰ +Yz%g Gؖ + c : d z# ePsG̰ +Yyg Gؖ + f : g y# hPrG̰ +xxg Gؖ + i : j x# kPQG̰ 30w +Gؖ + lw   +kg Gؖ + m : n w# oQqG̰ +x Gؖ + p : q # rQpG̰ +x Gؖ + s : t # uQoG̰ +x Gؖ + v : w # xQlG̰ QRSTVWXYZ\]^_ Gؖ + y I z  {ln  +G̰ +x   +Gؖ + | +Gؖ + + } : ~  lmG̰  +Gؖ + + } :  QRG̰ 30 +Gؖ +  k !"#$%&'()*+,- Gؖ +.  :/ 0123456789:;<=>?#@A RkBG̰ >CDEFGHIJ Gؖ +K  :L >M#N RjOG̰ `=P Gؖ +Q  :R =S#T RiUG̰ `< Gؖ +V  :W <X#Y RhZG̰ `; Gؖ +[  :\ ;]#^ Rg_G̰ `: %`abcdefghij Gؖ +k  :l :m#n RfoG̰ `9*%p Gؖ +q  :r 9s#t ReuG̰ c8%cdev Gؖ +w  :x 8y#z Rd{G̰ c7P Gؖ +|  :} 7~# RcG̰ c6 Gؖ +  : 6# RbG̰ c5 Gؖ +  : 5# R[G̰ 4QRSTVWXYZ\]^_ Gؖ +  I 4 [_G̰ %` +Gؖ +  +Gؖ +  :   _`G̰ %`% Gؖ +  :  + `aG̰ c%S_ Gؖ +  :   [\G̰  +Gؖ +  :  \]G̰  % Gؖ +  :  + ]^G̰ %`%S_ Gؖ +  :   RZG̰ H3 Gؖ +  : 3# RYG̰ H2 Gؖ +  : 2# RXG̰ H1 Gؖ +  : 1# RSG̰ q0'*%  +   + Gؖ +  : 0#% SWG̰ H *%  +jklmnopqrst Gؖ +  : #% SV G̰ H Gؖ +!  :" ##%$% SU&G̰ q'(%) Gؖ +*  :+ ,#%-. ST/G̰ q*%)0123 Gؖ +4  :5 6#%78 MN9:;G̰ +; +Gؖ +< : +Gؖ += 9 +Gؖ +>  +G̰ +?  I@ A#&%BC : DEF KLGGY +=D%S_H G +  : IDJK %KHGY +I%LMNOPQ G +  : RIST #%UQGY +=RVU +G + RW +Ga`  : XYRZ[ #$\GY +=YW +Ga`  : Y]^_ $I`GY +] %abcde_\ G +  : ]fgh IJiGY +f%S_h` G +  : fjk #WGY +XlQRSTVWXYZm\]^_n + +G?۸  :/ opqrsXtuvwxyz{|}~#A /GY +}CDEFGHIJn EGY +G hGGY +G FGY +HGY +CGY +IGY +G;DGY +GlJGY +GG +G?۸K  :L }#N .GY +|Pn + +G?۸Q  :R |#T -GY +{n + +G?۸V  :W {#Y ,GY +zn + +G?۸[  :\ z#^ +GY +y %`abcdefghijn + +G?۸k  :l y#n *GY +x*%pn + +G?۸q  :r x#t )GY +w%cdevn + +G?۸w  :x w#z (GY +{vPn + +G?۸|  :} v# 'GY +{un + +G?۸  : u# &GY +{tn + +G?۸  : t# "GY +sn + +G?۸  : s# !GY +rn + +G?۸  : r#  GY +qn + +G?۸  : q# GY hp['*%  +   +n,GY hGY h +GY hGY hGY hG hGY hGY hGY hGY hGY h%GY$ GY hGY hGY hG@GL(GY hGY hGY h[G0GY h GY hGY hGY hGY h +GY hGY hGY hG*GY$ GY hGY hGY h GY hGY hGY hGY hGY hGY h GY hGY hGY hGY hG +G" +G?۸  : p#% GY  *%  +jklmnopqrst + +G?۸  : #% GY  + +G?۸!  :" #%% GY '(%) + +G?۸*  :+ #%. GY h*%)0123 + +G?۸4  :5 #% nGY JoG +G + +G?۸ o" k !"#$%&'()*+,- G c-GY h"G +{GY JG h)GY h&GY hGkH GY8G +'GY h$GY hG 30+GY hGY JGYxG HG:,GY h"GY h!GY hGGY hkGY JGY J%GY hGY hGY h#GY h(GY h GY h*GY hG +G + +G?8.  : n o# o5GY q + +G?8 p : q # r4GY q + +G?8 s : t # u3GY q + +G?8 v : w # x0GY +QRSTVWXYZ\]^_ + +G?8 y : z  {02GY 30 +G + | +Ga` + } : ~  01GY 30 +Ga` + } :  kGY JG +G + +G?T l@M   +kGL(GGY JGY J GY8GY JGY JG cGY JGY J@GkHGY JGY JMG: +GYTGY JGY JG HGY JGY JGY JGY JGY JkGY JG +YGY JGY J GY8GY JGY JGY JG +G + +G?P m :v O # P>GY %` + +G?8 Q : R # S=GY %` % + +G?8 T : U # V<GY %` + +G?8 W : X # Y9GY HQRSTVWXYZ\]^_ + +G?8 Z : [  \9;GY  +G + ] +G  ^ : _  `9:GY  +G  ^ : a  b8GY H % + +G?8 c : d # e7GY H  + +G?8 f : g # h6GY H  + +G?8 i : j #d KGY JG +G + +G?8h L   GͲG +G + +G?8i M  *%  +jklmnopqrst GͲGGY pGY JG qnGY JrGY J%GY$  GY8tGY GY G mGY oGY  +GYTjGY J*GY$ GlsGY JlGY JkGY JGG$ qGY J GY8G +G + +G?8u N :I F #N G?GY cPQRSTUVWXYZ\]^_ + +G?8` H :a J  BGY hG +G + +G?8 C F  + *%  + !"#$%&'()*+,-./0123456789:;<=>?@&BCDEFW@GY GY hGY hG̱ hGY /GY 6GY hGY GY G80GY +GY hG qGY h4GY hGY G̰ JGY BGY %GY h%GY$  GY8&GY h GY hG̲P (3GY h:GY hDGY G@9GY G cGY G~L(GY GY  GY h7GY h=GY hG̲P ( +GYT2GY GYxGY GY h>GY h,GY &GY qGYbCGY G +Y;GY hGY hGY hGYxGY *GY$ G1GY hGY h?GY 1GY FGY GY h"GY h)GY GY h8GY hG J'GY hG +{ +GY hGG GY8$GY h-GY hG̰ J<GY h(GY hG 5GY h*GY h!GY #GY EGY h.GY G~G +YG + +G?TG DGͲxG +xG +Y +G +YH E : >  #&% <A +GY c%3 Gdjx GZrGl(H +GZ hGZL(GZL(GZSGY GZ +%GY$ GZ8GZGZ +GZSGZ"GZGZSGZGZSGZSGZGZSGYxGZАGZL(GYx GZ!GZ"GZ +#GZL($GZ h%GZS&GZА'GZ `(GZS)GZS*GZS+GZS,GZ"-GZ.GZ/GZ80GZ1GZ2GZ `3GZS4GZ +5GlG J6GZ7GZGZ5 +xGlGGlG  +G +x = : ; 8# ?@9GY cG̰ JG̲P (GͲMG̲P (G̰ JGͱPGͲ|1GͲ| +G +x @ : A :#8 ;<=GY=G +xG +x +G +x< <GͲxG G +x +G +x= ;G G  +G > >G̰ JG̲P (G~L(G̲P (G̰ JG~G `G  +G ?  :@ ?@#&%ABC >GY?G `G `G `D  E FG?H#IJ KGYTGGYTL  :M GNOPQ RSGYTNR +Gؖ +x> NK +Gؖ T  :U NVWXYZ[ \]GYXZ\ +Gؖ +x^ XS +Gؖ +x_  :` Xabcd GeGY Ja %fghijc] Gؖ +xk  :l amnop GHqGY Jm%rstuve Gؖ +xw  :x myz{ |GYPWS +Gؖ +x_  :} W~ EGY h~ %| Gؖ +x  : ~ EFGY h % Gؖ +x  :  GYPVZ +Gؖ +x VS +Gؖ +x  : V BGY *% Gؖ +x  +Gؖ +x  :  BCGY*% Gؖ +Y  +Gؖ +x  :  CDGY Gؖ +Y  :  GYTF % +GYb  :  F# GYP *%   + GYb    GYb  E  + +                 GYx  %  GYx     !GYT ! "  # $GY8 $ % & 'GY8 ' (* ) * + , - ) . ( / 0 1 & % # "Y         + +             ZT\RYX]VW^S_?2)D1B&#%*   +j~E@/CF.0!,vrtsup)023m to#j`fehdcbigav9UPQk      ! " # $ % & ' ( ) * + , - . / 0 1 2 3 4 5 6 7 8 9 : ; <  +  EFDGJCHIP('1"'(&8*E;+-7$346:5%<>= = > ? @ A B C D E F Glpnsrq'*+(),%-$& +!#"  + +  +Q HQP HP I H I JR HR H H HO HO[ Hm K H K LP HP HQ HQ H I H I MR HR Hl K H K N; H; Ou Hu9 H90 H0l Hl 2 H2 P Q H Q R% H% S T H T U# H#V[ H[ V H V WN HNA H]H HHz: H:K HKK0 H0 HcQ HQ X H X YB HB{ H{c Q H Q Z; H; HAP HP [ H [ \_ H_% H%T I H I ]T HT7 ^ H ^ _l Hl\ H\ ` H ` aS HS b H b c d H d em Hm? H?^ H^2 H2R HR@I HIh f H f g H H h H h iu Hu Hv Hv H T H T j9 H9 k H k l m H m n o H o pn Hn H HI q H q r H s H s t$ H$_J HJk u H u v w H w x V H V y HF HF z H z { HL HLF H | H | }G HGoO HOM ~ H ~   H ` H`N HNW HWV HV$U HU-# H#[M HMB H  H C HC  H a Ha H  H w Hw H HPE HE  H  H 1 H1  H K H K ] H][ H[  H  HZQ HQ HBP HP I H I  H H | H | M HMC Hg_ H_% H%M\ H\ H H$ H$b Hf_ H_% H%L\ H\ H$ H$a Hj_ H_% H%P\ H\ H H$ H$e H Hi_ H_% H%O\ H\ H H$ H$d Hh_ H_% H%N\ H\ H H$ H$cP HP HQ HQ H 2GY J 2  3GY J 3 4Ga` 4 5 6 2G̰ 30 2  3G̰ 30 3 4G̰ 30 4 6 7GY J 7  3GY J 3 4G 4 5 6 7G̰ 30 7  3G̰ + 3 4G̰ + 4 6 8GY + 8 8GY 8 8GY h 8 8G̰ 8 8GY + 8 8G̰ %` 8 8GY J 8 9GY + 9 9GY 9 9GY h 9 9G̰ 9 9GY + 9 9G̰ %` 9 9GY J 9 :GY h : ;GY + ; ;GY ; ;GY h ; ;G̰ ; ;GY + ; ;G̰ %` ; ;GY J ; <GY + < <GY h < <G̰ < <GY + < <G̰ %` < <GY J < =GY + = =GY = =GY h = =G̰ = =GY + = =G̰ %` = =GY J = 3G̰ + 3 3GYL( 3 3GY 3 3GY 3 4GYT 4 4GY 4 4G̰ 4 4G̰ 4 4GY %` 4 4GY 30 4 4GY 30 4 4GY 4 4GY q 4 4GY h 4 4G̳k; 4 5 4Ga` 4 5 4G̰ + 4 4GY %` 4 4G̱ h 4 5 4G̰ c 4 4GY 30 4 4GY 4 4GY 4 4GY h 4 4G̰ + 4 4GY += 4 4G̰ q 4 4G̰ H 4 4G̰ H 4 4G̰ + 4 4G̰ %` 4 4GY += 4 4GY 4 4G̰ + 4 4GY J 4 4GY H 4 4GY += 4 4GY 4 4GY + 4 4GY h 4 4G: 4 5 4GY H 4 4GY 4 4GY q 4 4GY + 4 4GY += 4 4GYP 4 4GY 4 4GY %` 4 4G̳k@ 4 5 4GYP 4 4G̰ +x 4 4GY +{ 4 4GY + 4 4GY q 4 4GY 4 4GY ` 4 4GY 4 4G̰ + 4 4G̰ +Y 4 4GY c 4 4GY 4 4GYP 4 4GY q 4 4GY + 4 4G̰ q 4 4GY 30 4 4G̰ %` 4 4GY c 4 4G̰ 4 4GY + 4 4GY 30 4 4G̰ q 4 4GY 4 4G̰ 4 4G̰ 4 4GY 4 4G̰ q 4 4GY 4 4GY +{ 4 4G̰ %` 4 4GY c 4 4G̳=T 4 5 4GY 4 4GY 4 4G̰ + 4 4GY 4 4GY ` 4 4GY 4 4GY 30 4 4GY + 4 4GY 4 4GY J 4 >GY h > >G̰ + > >GY > ?G̰ %` ? @GYb @     ?GY += ?[ ?GY ? j ?GY +{ ?T ?GY ? Z AGY q A AG̰ +x A AGY 30 A BGY B BG̰ + B BGY %` B CGY8 C D_ D EGY۸ E     CGa` C F_ F CG̰ C D_@ D CGY۸ C D_ ] D GG G H_ H GG̰ G I_ I JG J K_ K JG̰ +Y J L_ L MGY ` MR_ NGY N_ MGa` MR_ MG̰ + MR_M MGa` MR_ MG: MR_ MG MR_ MG̰ MR_@ MGY %` MR_ ] MG̰ +Y MR_ MG̰ MR_ OGY h O P QGY h Q R SGY h S TGY h T UGY h U V WGY J W X YGY J Y ZGY J Z [GY J [ \GY J \d ]GY J ] ^  ^ _GY J _ `  ` a b ` c d ^ eGY h e V fGY h f gGY h g hGY h h iGY h i jGY h j kGY h k lGY h l mGY h m nGY h n , oGY h o p q rGY h r, sGY h s, tGY h td, uGY + u vG[ + v wG[ + w xG[b x yG[b y zGY h z {GY h {, |GY h |, }GY h }, ~GY h ~, GY J , GY h GY h  GY h  GY h  ^ '*+ ^ GY h ^ GY h ^ GY h  b *+, b a ` b c d ^ GY J GY J GY J ddd GY J GY J GY J  GY J GY J GY J GY J  GY J  GY J GY J GY J GY J GY J GY J  GY %` GY %`  GY %` GY %` GY %` GY %` GY %` +  = GY + GY %` + 8 = GY + & GY +   + + = GY h + GY h + GY h + GY h + +  GY h + GY h +  GY h + + V GY h + $ GY h + GY h + $ GY h +  GY h +  GY h + GY h +  GY h +  V + + + V GY + V GY h +  V + + + V GY h +  V GY h +  V + +! +" V GY h +#  V GY h +$ GY h +% GY h +& d GY h +' GY h +( GY h +)  GY h +* GY h ++ d GY h +, GY h +- GYT +.  GY +/  +0 +1 +2 +3 +4 +5 +6 +7)2 GY %` +8  ^ +9 +: +; +< +=) ^ GY %` +> ^ q GY +? ( GY +@ ) GY + +A GY + +B GY + +C GY + +D GY + +E GY + +F GY + +G GY + +H GY + +I  # +J +K +L + # # " GY + +M GY + +N  GY + +O GY q +P GY H +Q GY H +R  # +S +T +U + # # " GY q +V GY q +W  GY q +X GY + +Y GY h +Z  GY h +[ GY h +\  GY h +]  GY h +^ GY h +_ GY h +` +GY h +a + R +GY h +b + + +GY h +c + + +GY h +d + +GY h +e + + +GY h +f + + +GY h +g + + + GY h +h + + + +GY h +i + + + +GY h +j + + + +GY h +k + + + +GY h +l + + + +GY h +m + + + +GY h +n + + + +GY h +o + + + +GY h +p + + + +GY h +q + + + +GY h +r + +GY h +s + +GY + +t + +GY + +u + +GY + +v + +GY + +w +  +x +y +z +  +GY +{ + +GY +| + + GY +} +  +!GY +~ +! +"GY %` + +" +#GY %` + +# +$ +%GY %` + +% +&GY %` + +& +'GY %` + +' +(GY %` + +( +)GY %` + +) +*GY %` + +* ++GY %` + ++ +, +-GY %` + +- +. +/GY %` + +/ +0GY %` + +0 +1GY %` + +1 +2GY %` + +2 +3GY + +3 +4GY %` + +4 +5GY %` + +5 +6 +7GY %` + +7 +8 +9GY + +9 ^ +:GY J + +: +;GY$ + +; +<GY$ + +< +=GY$ + += +>GY + + +> +? +@GY + + +@ +AGY + + +A +B +CGY + + +C +DGY + + +D +E +FGY J + +F +G +HGY + + +H +IGY + +IS_ +JGY + +J^ +KGY + +K +LG: + +L +M_ +M +NGY c + +N_ +OGY %` + +O_ +PGY + +P  + + +QGY + +Q V +RGY c + +R +SGY c + +S +T + + + +i +T +UGY c + +Ud +VGY c + +V +W +X +Y +Z +T +[GY c + +[B?i +\GY c + +\ +]GY + + +] +W +^GY c + +^ +W +_GY c + +_ +W +`GY c + +`'j +aGY c + +a +bGY + + +bj +cGY + +c +dGY + +d +eGY + +e +fGY h + +f +gGY + +g +hGY c + +h ^ + + + + + + + + + + + + + + +) ^ +i +j +k +l +m +n +o +p +q +r +s +t +u V ^ +vGY %` + +v ^ + + + + + +) ^ +w +x +y +z V ^ +{GY c + +{ +|GY h + +| + +}GY h + +} q +~GY + + +~ + +GY += + + + + + +GY += + + +GY += + + q +GY + + + + + + +GY + + + +GY + + + q +GY J + +v +GY J + + +GY h + + +GY h + + +GY += + + +GY + + + +GY J + + +t + +GY J + +v +GY h + + + + +GY h + + +GY += + + + + +GY += + + +GY + + + + + +GY + + + +GY + + + +GY + + q +GY J + + +v q +GY h + + + q +GY += + + + q +GY + + + + q +GY + + + + +GY + + +GY + + +GY + + +GY + + ^ +GY + + + +GY + + + +GY + +GY  + +GY  + +GY  + +GY  + +GY  + +GY J  + +GY J  + +GY  + +GY + +GY + + +GY + +GY + + +GY + + + +GY  + +GY  + +GY  +  ? + + +GY  + d +GY  + +GY %`  +_ +GY %`  + +W +W +GY %`  +_ +GY %`  +_ +GY %`  +_ +GY %`  + +GY %`  +_ +GY %`  + +T + +GY  + +GY %`  + +_ + +GY %`  +d_d +GY +R +GY ! + + + +GY += " +_ +GY + # +R +GY + $ + + + +GY + % + # & ' ( + # # " +GY + ) + +GY + * + +GY + + + +GY + , + + +GY + - + + +GY + . + + +GY / + + +GY 30 0 + +W  +W +GY 30 1 + +GY q 2 + +  + +GY 30 3 + +GY 4 + 5  +GY 6 + + +GY 30 7 + 8  +GY 30 9 + + +GY : + ;  +GY < + + +GY 30 = + >  q +GY 30 ? + @ + +GY 30 A + + +GY B +  +GY C + +  + +GY += D + +  + +GY 30 E + +  + +GY 30 F + +  + +GY 30 G +  +GY 30 H + + I J  + + + +GY 30 K + + L M N O P Q R S T U V W X Y Z [ \ ] ^  + + + + + + + + + + + + +GY 30 _ GY 30 `  GY 30 a   +GY 30 b +  GY 30 c   GY 30 d   +GY 30 e + GY 30 f GY 30 g GY 30 h GY 30 i PK +&wS7__OBJSTORE__/ProjectNavigator/__stored_objects___StrTblworkverilogonaliblpc_7segsimprimvcomponentsunisimAND2B1|unisim|vcomponentsAND2B2|unisim|vcomponentsAND2|unisim|vcomponentsAND3B1|unisim|vcomponentsAND3B2|unisim|vcomponentsAND3B3|unisim|vcomponentsAND3|unisim|vcomponentsAND4B1|unisim|vcomponentsAND4B2|unisim|vcomponentsAND4B3|unisim|vcomponentsAND4B4|unisim|vcomponentsAND4|unisim|vcomponentsAND5B1|unisim|vcomponentsAND5B2|unisim|vcomponentsAND5B3|unisim|vcomponentsAND5B4|unisim|vcomponentsAND5B5|unisim|vcomponentsAND5|unisim|vcomponentsAND6|unisim|vcomponentsAND7|unisim|vcomponentsAND8|unisim|vcomponentsBSCAN_FPGACORE|unisim|vcomponentsBSCAN_SPARTAN2|unisim|vcomponentsBSCAN_SPARTAN3A|unisim|vcomponentsBSCAN_SPARTAN3|unisim|vcomponentsBSCAN_VIRTEX2|unisim|vcomponentsBSCAN_VIRTEX4|unisim|vcomponentsBSCAN_VIRTEX5|unisim|vcomponentsBSCAN_VIRTEX|unisim|vcomponentsBUFCF|unisim|vcomponentsBUFE|unisim|vcomponentsBUFFOE|unisim|vcomponentsBUFGCE_1|unisim|vcomponentsBUFGCE|unisim|vcomponentsBUFGCTRL|unisim|vcomponentsBUFGDLL|unisim|vcomponentsBUFGMUX_1|unisim|vcomponentsBUFGMUX_CTRL|unisim|vcomponentsBUFGMUX_VIRTEX4|unisim|vcomponentsBUFGMUX|unisim|vcomponentsBUFGP|unisim|vcomponentsBUFGSR|unisim|vcomponentsBUFGTS|unisim|vcomponentsBUFG|unisim|vcomponentsBUFIO|unisim|vcomponentsBUFR|unisim|vcomponentsBUFT|unisim|vcomponentsBUF|unisim|vcomponentsCAPTURE_FPGACORE|unisim|vcomponentsCAPTURE_SPARTAN2|unisim|vcomponentsCAPTURE_SPARTAN3A|unisim|vcomponentsCAPTURE_SPARTAN3|unisim|vcomponentsCAPTURE_VIRTEX2|unisim|vcomponentsCAPTURE_VIRTEX4|unisim|vcomponentsCAPTURE_VIRTEX5|unisim|vcomponentsCAPTURE_VIRTEX|unisim|vcomponentsCARRY4|unisim|vcomponentsCFGLUT5|unisim|vcomponentsCLKDLLE|unisim|vcomponentsCLKDLLHF|unisim|vcomponentsCLKDLL|unisim|vcomponentsCLK_DIV10RSD|unisim|vcomponentsCLK_DIV10R|unisim|vcomponentsCLK_DIV10SD|unisim|vcomponentsCLK_DIV10|unisim|vcomponentsCLK_DIV12RSD|unisim|vcomponentsCLK_DIV12R|unisim|vcomponentsCLK_DIV12SD|unisim|vcomponentsCLK_DIV12|unisim|vcomponentsCLK_DIV14RSD|unisim|vcomponentsCLK_DIV14R|unisim|vcomponentsCLK_DIV14SD|unisim|vcomponentsCLK_DIV14|unisim|vcomponentsCLK_DIV16RSD|unisim|vcomponentsCLK_DIV16R|unisim|vcomponentsCLK_DIV16SD|unisim|vcomponentsCLK_DIV16|unisim|vcomponentsCLK_DIV2RSD|unisim|vcomponentsCLK_DIV2R|unisim|vcomponentsCLK_DIV2SD|unisim|vcomponentsCLK_DIV2|unisim|vcomponentsCLK_DIV4RSD|unisim|vcomponentsCLK_DIV4R|unisim|vcomponentsCLK_DIV4SD|unisim|vcomponentsCLK_DIV4|unisim|vcomponentsCLK_DIV6RSD|unisim|vcomponentsCLK_DIV6R|unisim|vcomponentsCLK_DIV6SD|unisim|vcomponentsCLK_DIV6|unisim|vcomponentsCLK_DIV8RSD|unisim|vcomponentsCLK_DIV8R|unisim|vcomponentsCLK_DIV8SD|unisim|vcomponentsCLK_DIV8|unisim|vcomponentsCONFIG|unisim|vcomponentsCRC32|unisim|vcomponentsCRC64|unisim|vcomponentsDCC_FPGACORE|unisim|vcomponentsDCIRESET|unisim|vcomponentsDCM_ADV|unisim|vcomponentsDCM_BASE|unisim|vcomponentsDCM_PS|unisim|vcomponentsDCM_SP|unisim|vcomponentsDCM|unisim|vcomponentsDNA_PORT|unisim|vcomponentsDSP48A|unisim|vcomponentsDSP48E|unisim|vcomponentsDSP48|unisim|vcomponentsEMAC|unisim|vcomponentsFDCE_1|unisim|vcomponentsFDCE|unisim|vcomponentsFDCPE_1|unisim|vcomponentsFDCPE|unisim|vcomponentsFDCPX1|unisim|vcomponentsFDCP_1|unisim|vcomponentsFDCP|unisim|vcomponentsFDC_1|unisim|vcomponentsFDC|unisim|vcomponentsFDDCE|unisim|vcomponentsFDDCPE|unisim|vcomponentsFDDCP|unisim|vcomponentsFDDC|unisim|vcomponentsFDDPE|unisim|vcomponentsFDDP|unisim|vcomponentsFDDRCPE|unisim|vcomponentsFDDRRSE|unisim|vcomponentsFDD|unisim|vcomponentsFDE_1|unisim|vcomponentsFDE|unisim|vcomponentsFDPE_1|unisim|vcomponentsFDPE|unisim|vcomponentsFDP_1|unisim|vcomponentsFDP|unisim|vcomponentsFDRE_1|unisim|vcomponentsFDRE|unisim|vcomponentsFDRSE_1|unisim|vcomponentsFDRSE|unisim|vcomponentsFDRS_1|unisim|vcomponentsFDRS|unisim|vcomponentsFDR_1|unisim|vcomponentsFDR|unisim|vcomponentsFDSE_1|unisim|vcomponentsFDSE|unisim|vcomponentsFDS_1|unisim|vcomponentsFDS|unisim|vcomponentsFD_1|unisim|vcomponentsFD|unisim|vcomponentsFIFO16|unisim|vcomponentsFIFO18_36|unisim|vcomponentsFIFO18|unisim|vcomponentsFIFO36_72_EXP|unisim|vcomponentsFIFO36_72|unisim|vcomponentsFIFO36_EXP|unisim|vcomponentsFIFO36|unisim|vcomponentsFMAP|unisim|vcomponentsFRAME_ECC_VIRTEX4|unisim|vcomponentsFRAME_ECC_VIRTEX5|unisim|vcomponentsFTCP|unisim|vcomponentsFTC|unisim|vcomponentsFTP|unisim|vcomponentsGND|unisim|vcomponentsGT10_10GE_4|unisim|vcomponentsGT10_10GE_8|unisim|vcomponentsGT10_10GFC_4|unisim|vcomponentsGT10_10GFC_8|unisim|vcomponentsGT10_AURORAX_4|unisim|vcomponentsGT10_AURORAX_8|unisim|vcomponentsGT10_AURORA_1|unisim|vcomponentsGT10_AURORA_2|unisim|vcomponentsGT10_AURORA_4|unisim|vcomponentsGT10_CUSTOM|unisim|vcomponentsGT10_INFINIBAND_1|unisim|vcomponentsGT10_INFINIBAND_2|unisim|vcomponentsGT10_INFINIBAND_4|unisim|vcomponentsGT10_OC192_4|unisim|vcomponentsGT10_OC192_8|unisim|vcomponentsGT10_OC48_1|unisim|vcomponentsGT10_OC48_2|unisim|vcomponentsGT10_OC48_4|unisim|vcomponentsGT10_PCI_EXPRESS_1|unisim|vcomponentsGT10_PCI_EXPRESS_2|unisim|vcomponentsGT10_PCI_EXPRESS_4|unisim|vcomponentsGT10_XAUI_1|unisim|vcomponentsGT10_XAUI_2|unisim|vcomponentsGT10_XAUI_4|unisim|vcomponentsGT10|unisim|vcomponentsGT11CLK_MGT|unisim|vcomponentsGT11CLK|unisim|vcomponentsGT11_CUSTOM|unisim|vcomponentsGT11_DUAL|unisim|vcomponentsGT11|unisim|vcomponentsGTP_DUAL|unisim|vcomponentsGT_AURORA_1|unisim|vcomponentsGT_AURORA_2|unisim|vcomponentsGT_AURORA_4|unisim|vcomponentsGT_CUSTOM|unisim|vcomponentsGT_ETHERNET_1|unisim|vcomponentsGT_ETHERNET_2|unisim|vcomponentsGT_ETHERNET_4|unisim|vcomponentsGT_FIBRE_CHAN_1|unisim|vcomponentsGT_FIBRE_CHAN_2|unisim|vcomponentsGT_FIBRE_CHAN_4|unisim|vcomponentsGT_INFINIBAND_1|unisim|vcomponentsGT_INFINIBAND_2|unisim|vcomponentsGT_INFINIBAND_4|unisim|vcomponentsGT_XAUI_1|unisim|vcomponentsGT_XAUI_2|unisim|vcomponentsGT_XAUI_4|unisim|vcomponentsGT|unisim|vcomponentsIBUFDS_BLVDS_25|unisim|vcomponentsIBUFDS_DIFF_OUT|unisim|vcomponentsIBUFDS_DLY_ADJ|unisim|vcomponentsIBUFDS_LDT_25|unisim|vcomponentsIBUFDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_25|unisim|vcomponentsIBUFDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_33|unisim|vcomponentsIBUFDS_LVDS_25_DCI|unisim|vcomponentsIBUFDS_LVDS_25|unisim|vcomponentsIBUFDS_LVDS_33_DCI|unisim|vcomponentsIBUFDS_LVDS_33|unisim|vcomponentsIBUFDS_LVPECL_25|unisim|vcomponentsIBUFDS_LVPECL_33|unisim|vcomponentsIBUFDS_ULVDS_25|unisim|vcomponentsIBUFDS|unisim|vcomponentsIBUFGDS_BLVDS_25|unisim|vcomponentsIBUFGDS_DIFF_OUT|unisim|vcomponentsIBUFGDS_LDT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_33|unisim|vcomponentsIBUFGDS_LVDS_25_DCI|unisim|vcomponentsIBUFGDS_LVDS_25|unisim|vcomponentsIBUFGDS_LVDS_33_DCI|unisim|vcomponentsIBUFGDS_LVDS_33|unisim|vcomponentsIBUFGDS_LVPECL_25|unisim|vcomponentsIBUFGDS_LVPECL_33|unisim|vcomponentsIBUFGDS_ULVDS_25|unisim|vcomponentsIBUFGDS|unisim|vcomponentsIBUFG_AGP|unisim|vcomponentsIBUFG_CTT|unisim|vcomponentsIBUFG_GTLP_DCI|unisim|vcomponentsIBUFG_GTLP|unisim|vcomponentsIBUFG_GTL_DCI|unisim|vcomponentsIBUFG_GTL|unisim|vcomponentsIBUFG_HSTL_III_18|unisim|vcomponentsIBUFG_HSTL_III_DCI_18|unisim|vcomponentsIBUFG_HSTL_III_DCI|unisim|vcomponentsIBUFG_HSTL_III|unisim|vcomponentsIBUFG_HSTL_II_18|unisim|vcomponentsIBUFG_HSTL_II_DCI_18|unisim|vcomponentsIBUFG_HSTL_II_DCI|unisim|vcomponentsIBUFG_HSTL_II|unisim|vcomponentsIBUFG_HSTL_IV_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI|unisim|vcomponentsIBUFG_HSTL_IV|unisim|vcomponentsIBUFG_HSTL_I_18|unisim|vcomponentsIBUFG_HSTL_I_DCI_18|unisim|vcomponentsIBUFG_HSTL_I_DCI|unisim|vcomponentsIBUFG_HSTL_I|unisim|vcomponentsIBUFG_LVCMOS12|unisim|vcomponentsIBUFG_LVCMOS15|unisim|vcomponentsIBUFG_LVCMOS18|unisim|vcomponentsIBUFG_LVCMOS25|unisim|vcomponentsIBUFG_LVCMOS2|unisim|vcomponentsIBUFG_LVCMOS33|unisim|vcomponentsIBUFG_LVDCI_15|unisim|vcomponentsIBUFG_LVDCI_18|unisim|vcomponentsIBUFG_LVDCI_25|unisim|vcomponentsIBUFG_LVDCI_33|unisim|vcomponentsIBUFG_LVDCI_DV2_15|unisim|vcomponentsIBUFG_LVDCI_DV2_18|unisim|vcomponentsIBUFG_LVDCI_DV2_25|unisim|vcomponentsIBUFG_LVDCI_DV2_33|unisim|vcomponentsIBUFG_LVDS|unisim|vcomponentsIBUFG_LVPECL|unisim|vcomponentsIBUFG_LVTTL|unisim|vcomponentsIBUFG_PCI33_3|unisim|vcomponentsIBUFG_PCI33_5|unisim|vcomponentsIBUFG_PCI66_3|unisim|vcomponentsIBUFG_PCIX66_3|unisim|vcomponentsIBUFG_PCIX|unisim|vcomponentsIBUFG_SSTL18_II_DCI|unisim|vcomponentsIBUFG_SSTL18_II|unisim|vcomponentsIBUFG_SSTL18_I_DCI|unisim|vcomponentsIBUFG_SSTL18_I|unisim|vcomponentsIBUFG_SSTL2_II_DCI|unisim|vcomponentsIBUFG_SSTL2_II|unisim|vcomponentsIBUFG_SSTL2_I_DCI|unisim|vcomponentsIBUFG_SSTL2_I|unisim|vcomponentsIBUFG_SSTL3_II_DCI|unisim|vcomponentsIBUFG_SSTL3_II|unisim|vcomponentsIBUFG_SSTL3_I_DCI|unisim|vcomponentsIBUFG_SSTL3_I|unisim|vcomponentsIBUFG|unisim|vcomponentsIBUF_AGP|unisim|vcomponentsIBUF_CTT|unisim|vcomponentsIBUF_DLY_ADJ|unisim|vcomponentsIBUF_GTLP_DCI|unisim|vcomponentsIBUF_GTLP|unisim|vcomponentsIBUF_GTL_DCI|unisim|vcomponentsIBUF_GTL|unisim|vcomponentsIBUF_HSTL_III_18|unisim|vcomponentsIBUF_HSTL_III_DCI_18|unisim|vcomponentsIBUF_HSTL_III_DCI|unisim|vcomponentsIBUF_HSTL_III|unisim|vcomponentsIBUF_HSTL_II_18|unisim|vcomponentsIBUF_HSTL_II_DCI_18|unisim|vcomponentsIBUF_HSTL_II_DCI|unisim|vcomponentsIBUF_HSTL_II|unisim|vcomponentsIBUF_HSTL_IV_18|unisim|vcomponentsIBUF_HSTL_IV_DCI_18|unisim|vcomponentsIBUF_HSTL_IV_DCI|unisim|vcomponentsIBUF_HSTL_IV|unisim|vcomponentsIBUF_HSTL_I_18|unisim|vcomponentsIBUF_HSTL_I_DCI_18|unisim|vcomponentsIBUF_HSTL_I_DCI|unisim|vcomponentsIBUF_HSTL_I|unisim|vcomponentsIBUF_LVCMOS12|unisim|vcomponentsIBUF_LVCMOS15|unisim|vcomponentsIBUF_LVCMOS18|unisim|vcomponentsIBUF_LVCMOS25|unisim|vcomponentsIBUF_LVCMOS2|unisim|vcomponentsIBUF_LVCMOS33|unisim|vcomponentsIBUF_LVDCI_15|unisim|vcomponentsIBUF_LVDCI_18|unisim|vcomponentsIBUF_LVDCI_25|unisim|vcomponentsIBUF_LVDCI_33|unisim|vcomponentsIBUF_LVDCI_DV2_15|unisim|vcomponentsIBUF_LVDCI_DV2_18|unisim|vcomponentsIBUF_LVDCI_DV2_25|unisim|vcomponentsIBUF_LVDCI_DV2_33|unisim|vcomponentsIBUF_LVDS|unisim|vcomponentsIBUF_LVPECL|unisim|vcomponentsIBUF_LVTTL|unisim|vcomponentsIBUF_PCI33_3|unisim|vcomponentsIBUF_PCI33_5|unisim|vcomponentsIBUF_PCI66_3|unisim|vcomponentsIBUF_PCIX66_3|unisim|vcomponentsIBUF_PCIX|unisim|vcomponentsIBUF_SSTL18_II_DCI|unisim|vcomponentsIBUF_SSTL18_II|unisim|vcomponentsIBUF_SSTL18_I_DCI|unisim|vcomponentsIBUF_SSTL18_I|unisim|vcomponentsIBUF_SSTL2_II_DCI|unisim|vcomponentsIBUF_SSTL2_II|unisim|vcomponentsIBUF_SSTL2_I_DCI|unisim|vcomponentsIBUF_SSTL2_I|unisim|vcomponentsIBUF_SSTL3_II_DCI|unisim|vcomponentsIBUF_SSTL3_II|unisim|vcomponentsIBUF_SSTL3_I_DCI|unisim|vcomponentsIBUF_SSTL3_I|unisim|vcomponentsIBUF|unisim|vcomponentsICAP_SPARTAN3A|unisim|vcomponentsICAP_VIRTEX2|unisim|vcomponentsICAP_VIRTEX4|unisim|vcomponentsICAP_VIRTEX5|unisim|vcomponentsIDDR2|unisim|vcomponentsIDDR_2CLK|unisim|vcomponentsIDDR|unisim|vcomponentsIDELAYCTRL|unisim|vcomponentsIDELAY|unisim|vcomponentsIFDDRCPE|unisim|vcomponentsIFDDRRSE|unisim|vcomponentsILD|unisim|vcomponentsINV|unisim|vcomponentsIOBUFDS_BLVDS_25|unisim|vcomponentsIOBUFDS|unisim|vcomponentsIOBUFE_F|unisim|vcomponentsIOBUFE_S|unisim|vcomponentsIOBUFE|unisim|vcomponentsIOBUF_AGP|unisim|vcomponentsIOBUF_CTT|unisim|vcomponentsIOBUF_F_12|unisim|vcomponentsIOBUF_F_16|unisim|vcomponentsIOBUF_F_24|unisim|vcomponentsIOBUF_F_2|unisim|vcomponentsIOBUF_F_4|unisim|vcomponentsIOBUF_F_6|unisim|vcomponentsIOBUF_F_8|unisim|vcomponentsIOBUF_GTLP_DCI|unisim|vcomponentsIOBUF_GTLP|unisim|vcomponentsIOBUF_GTL_DCI|unisim|vcomponentsIOBUF_GTL|unisim|vcomponentsIOBUF_HSTL_III_18|unisim|vcomponentsIOBUF_HSTL_III|unisim|vcomponentsIOBUF_HSTL_II_18|unisim|vcomponentsIOBUF_HSTL_II_DCI_18|unisim|vcomponentsIOBUF_HSTL_II_DCI|unisim|vcomponentsIOBUF_HSTL_II|unisim|vcomponentsIOBUF_HSTL_IV_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI|unisim|vcomponentsIOBUF_HSTL_IV|unisim|vcomponentsIOBUF_HSTL_I_18|unisim|vcomponentsIOBUF_HSTL_I|unisim|vcomponentsIOBUF_LVCMOS12_F_2|unisim|vcomponentsIOBUF_LVCMOS12_F_4|unisim|vcomponentsIOBUF_LVCMOS12_F_6|unisim|vcomponentsIOBUF_LVCMOS12_F_8|unisim|vcomponentsIOBUF_LVCMOS12_S_2|unisim|vcomponentsIOBUF_LVCMOS12_S_4|unisim|vcomponentsIOBUF_LVCMOS12_S_6|unisim|vcomponentsIOBUF_LVCMOS12_S_8|unisim|vcomponentsIOBUF_LVCMOS12|unisim|vcomponentsIOBUF_LVCMOS15_F_12|unisim|vcomponentsIOBUF_LVCMOS15_F_16|unisim|vcomponentsIOBUF_LVCMOS15_F_2|unisim|vcomponentsIOBUF_LVCMOS15_F_4|unisim|vcomponentsIOBUF_LVCMOS15_F_6|unisim|vcomponentsIOBUF_LVCMOS15_F_8|unisim|vcomponentsIOBUF_LVCMOS15_S_12|unisim|vcomponentsIOBUF_LVCMOS15_S_16|unisim|vcomponentsIOBUF_LVCMOS15_S_2|unisim|vcomponentsIOBUF_LVCMOS15_S_4|unisim|vcomponentsIOBUF_LVCMOS15_S_6|unisim|vcomponentsIOBUF_LVCMOS15_S_8|unisim|vcomponentsIOBUF_LVCMOS15|unisim|vcomponentsIOBUF_LVCMOS18_F_12|unisim|vcomponentsIOBUF_LVCMOS18_F_16|unisim|vcomponentsIOBUF_LVCMOS18_F_2|unisim|vcomponentsIOBUF_LVCMOS18_F_4|unisim|vcomponentsIOBUF_LVCMOS18_F_6|unisim|vcomponentsIOBUF_LVCMOS18_F_8|unisim|vcomponentsIOBUF_LVCMOS18_S_12|unisim|vcomponentsIOBUF_LVCMOS18_S_16|unisim|vcomponentsIOBUF_LVCMOS18_S_2|unisim|vcomponentsIOBUF_LVCMOS18_S_4|unisim|vcomponentsIOBUF_LVCMOS18_S_6|unisim|vcomponentsIOBUF_LVCMOS18_S_8|unisim|vcomponentsIOBUF_LVCMOS18|unisim|vcomponentsIOBUF_LVCMOS25_F_12|unisim|vcomponentsIOBUF_LVCMOS25_F_16|unisim|vcomponentsIOBUF_LVCMOS25_F_24|unisim|vcomponentsIOBUF_LVCMOS25_F_2|unisim|vcomponentsIOBUF_LVCMOS25_F_4|unisim|vcomponentsIOBUF_LVCMOS25_F_6|unisim|vcomponentsIOBUF_LVCMOS25_F_8|unisim|vcomponentsIOBUF_LVCMOS25_S_12|unisim|vcomponentsIOBUF_LVCMOS25_S_16|unisim|vcomponentsIOBUF_LVCMOS25_S_24|unisim|vcomponentsIOBUF_LVCMOS25_S_2|unisim|vcomponentsIOBUF_LVCMOS25_S_4|unisim|vcomponentsIOBUF_LVCMOS25_S_6|unisim|vcomponentsIOBUF_LVCMOS25_S_8|unisim|vcomponentsIOBUF_LVCMOS25|unisim|vcomponentsIOBUF_LVCMOS2|unisim|vcomponentsIOBUF_LVCMOS33_F_12|unisim|vcomponentsIOBUF_LVCMOS33_F_16|unisim|vcomponentsIOBUF_LVCMOS33_F_24|unisim|vcomponentsIOBUF_LVCMOS33_F_2|unisim|vcomponentsIOBUF_LVCMOS33_F_4|unisim|vcomponentsIOBUF_LVCMOS33_F_6|unisim|vcomponentsIOBUF_LVCMOS33_F_8|unisim|vcomponentsIOBUF_LVCMOS33_S_12|unisim|vcomponentsIOBUF_LVCMOS33_S_16|unisim|vcomponentsIOBUF_LVCMOS33_S_24|unisim|vcomponentsIOBUF_LVCMOS33_S_2|unisim|vcomponentsIOBUF_LVCMOS33_S_4|unisim|vcomponentsIOBUF_LVCMOS33_S_6|unisim|vcomponentsIOBUF_LVCMOS33_S_8|unisim|vcomponentsIOBUF_LVCMOS33|unisim|vcomponentsIOBUF_LVDCI_15|unisim|vcomponentsIOBUF_LVDCI_18|unisim|vcomponentsIOBUF_LVDCI_25|unisim|vcomponentsIOBUF_LVDCI_33|unisim|vcomponentsIOBUF_LVDCI_DV2_15|unisim|vcomponentsIOBUF_LVDCI_DV2_18|unisim|vcomponentsIOBUF_LVDCI_DV2_25|unisim|vcomponentsIOBUF_LVDCI_DV2_33|unisim|vcomponentsIOBUF_LVDS|unisim|vcomponentsIOBUF_LVPECL|unisim|vcomponentsIOBUF_LVTTL_F_12|unisim|vcomponentsIOBUF_LVTTL_F_16|unisim|vcomponentsIOBUF_LVTTL_F_24|unisim|vcomponentsIOBUF_LVTTL_F_2|unisim|vcomponentsIOBUF_LVTTL_F_4|unisim|vcomponentsIOBUF_LVTTL_F_6|unisim|vcomponentsIOBUF_LVTTL_F_8|unisim|vcomponentsIOBUF_LVTTL_S_12|unisim|vcomponentsIOBUF_LVTTL_S_16|unisim|vcomponentsIOBUF_LVTTL_S_24|unisim|vcomponentsIOBUF_LVTTL_S_2|unisim|vcomponentsIOBUF_LVTTL_S_4|unisim|vcomponentsIOBUF_LVTTL_S_6|unisim|vcomponentsIOBUF_LVTTL_S_8|unisim|vcomponentsIOBUF_LVTTL|unisim|vcomponentsIOBUF_PCI33_3|unisim|vcomponentsIOBUF_PCI33_5|unisim|vcomponentsIOBUF_PCI66_3|unisim|vcomponentsIOBUF_PCIX66_3|unisim|vcomponentsIOBUF_PCIX|unisim|vcomponentsIOBUF_SSTL18_II_DCI|unisim|vcomponentsIOBUF_SSTL18_II|unisim|vcomponentsIOBUF_SSTL18_I|unisim|vcomponentsIOBUF_SSTL2_II_DCI|unisim|vcomponentsIOBUF_SSTL2_II|unisim|vcomponentsIOBUF_SSTL2_I|unisim|vcomponentsIOBUF_SSTL3_II_DCI|unisim|vcomponentsIOBUF_SSTL3_II|unisim|vcomponentsIOBUF_SSTL3_I|unisim|vcomponentsIOBUF_S_12|unisim|vcomponentsIOBUF_S_16|unisim|vcomponentsIOBUF_S_24|unisim|vcomponentsIOBUF_S_2|unisim|vcomponentsIOBUF_S_4|unisim|vcomponentsIOBUF_S_6|unisim|vcomponentsIOBUF_S_8|unisim|vcomponentsIOBUF|unisim|vcomponentsIODELAY|unisim|vcomponentsISERDES_NODELAY|unisim|vcomponentsISERDES|unisim|vcomponentsJTAGPPC|unisim|vcomponentsKEEPER|unisim|vcomponentsKEEP|unisim|vcomponentsKEY_CLEAR|unisim|vcomponentsLDCE_1|unisim|vcomponentsLDCE|unisim|vcomponentsLDCPE_1|unisim|vcomponentsLDCPE|unisim|vcomponentsLDCP_1|unisim|vcomponentsLDCP|unisim|vcomponentsLDC_1|unisim|vcomponentsLDC|unisim|vcomponentsLDE_1|unisim|vcomponentsLDE|unisim|vcomponentsLDG|unisim|vcomponentsLDPE_1|unisim|vcomponentsLDPE|unisim|vcomponentsLDP_1|unisim|vcomponentsLDP|unisim|vcomponentsLD_1|unisim|vcomponentsLD|unisim|vcomponentsLUT1_D|unisim|vcomponentsLUT1_L|unisim|vcomponentsLUT1|unisim|vcomponentsLUT2_D|unisim|vcomponentsLUT2_L|unisim|vcomponentsLUT2|unisim|vcomponentsLUT3_D|unisim|vcomponentsLUT3_L|unisim|vcomponentsLUT3|unisim|vcomponentsLUT4_D|unisim|vcomponentsLUT4_L|unisim|vcomponentsLUT4|unisim|vcomponentsLUT5_D|unisim|vcomponentsLUT5_L|unisim|vcomponentsLUT5|unisim|vcomponentsLUT6_2|unisim|vcomponentsLUT6_D|unisim|vcomponentsLUT6_L|unisim|vcomponentsLUT6|unisim|vcomponentsMERGE|unisim|vcomponentsMIN_OFF|unisim|vcomponentsMULT18X18SIO|unisim|vcomponentsMULT18X18S|unisim|vcomponentsMULT18X18|unisim|vcomponentsMULT_AND|unisim|vcomponentsMUXCY_D|unisim|vcomponentsMUXCY_L|unisim|vcomponentsMUXCY|unisim|vcomponentsMUXF5_D|unisim|vcomponentsMUXF5_L|unisim|vcomponentsMUXF5|unisim|vcomponentsMUXF6_D|unisim|vcomponentsMUXF6_L|unisim|vcomponentsMUXF6|unisim|vcomponentsMUXF7_D|unisim|vcomponentsMUXF7_L|unisim|vcomponentsMUXF7|unisim|vcomponentsMUXF8_D|unisim|vcomponentsMUXF8_L|unisim|vcomponentsMUXF8|unisim|vcomponentsNAND2B1|unisim|vcomponentsNAND2B2|unisim|vcomponentsNAND2|unisim|vcomponentsNAND3B1|unisim|vcomponentsNAND3B2|unisim|vcomponentsNAND3B3|unisim|vcomponentsNAND3|unisim|vcomponentsNAND4B1|unisim|vcomponentsNAND4B2|unisim|vcomponentsNAND4B3|unisim|vcomponentsNAND4B4|unisim|vcomponentsNAND4|unisim|vcomponentsNAND5B1|unisim|vcomponentsNAND5B2|unisim|vcomponentsNAND5B3|unisim|vcomponentsNAND5B4|unisim|vcomponentsNAND5B5|unisim|vcomponentsNAND5|unisim|vcomponentsNOR2B1|unisim|vcomponentsNOR2B2|unisim|vcomponentsNOR2|unisim|vcomponentsNOR3B1|unisim|vcomponentsNOR3B2|unisim|vcomponentsNOR3B3|unisim|vcomponentsNOR3|unisim|vcomponentsNOR4B1|unisim|vcomponentsNOR4B2|unisim|vcomponentsNOR4B3|unisim|vcomponentsNOR4B4|unisim|vcomponentsNOR4|unisim|vcomponentsNOR5B1|unisim|vcomponentsNOR5B2|unisim|vcomponentsNOR5B3|unisim|vcomponentsNOR5B4|unisim|vcomponentsNOR5B5|unisim|vcomponentsNOR5|unisim|vcomponentsOBUFDS_BLVDS_25|unisim|vcomponentsOBUFDS_LDT_25|unisim|vcomponentsOBUFDS_LVDSEXT_25|unisim|vcomponentsOBUFDS_LVDSEXT_33|unisim|vcomponentsOBUFDS_LVDS_25|unisim|vcomponentsOBUFDS_LVDS_33|unisim|vcomponentsOBUFDS_LVPECL_25|unisim|vcomponentsOBUFDS_LVPECL_33|unisim|vcomponentsOBUFDS_ULVDS_25|unisim|vcomponentsOBUFDS|unisim|vcomponentsOBUFE|unisim|vcomponentsOBUFTDS_BLVDS_25|unisim|vcomponentsOBUFTDS_LDT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_33|unisim|vcomponentsOBUFTDS_LVDS_25|unisim|vcomponentsOBUFTDS_LVDS_33|unisim|vcomponentsOBUFTDS_LVPECL_25|unisim|vcomponentsOBUFTDS_LVPECL_33|unisim|vcomponentsOBUFTDS_ULVDS_25|unisim|vcomponentsOBUFTDS|unisim|vcomponentsOBUFT_AGP|unisim|vcomponentsOBUFT_CTT|unisim|vcomponentsOBUFT_F_12|unisim|vcomponentsOBUFT_F_16|unisim|vcomponentsOBUFT_F_24|unisim|vcomponentsOBUFT_F_2|unisim|vcomponentsOBUFT_F_4|unisim|vcomponentsOBUFT_F_6|unisim|vcomponentsOBUFT_F_8|unisim|vcomponentsOBUFT_GTLP_DCI|unisim|vcomponentsOBUFT_GTLP|unisim|vcomponentsOBUFT_GTL_DCI|unisim|vcomponentsOBUFT_GTL|unisim|vcomponentsOBUFT_HSTL_III_18|unisim|vcomponentsOBUFT_HSTL_III_DCI_18|unisim|vcomponentsOBUFT_HSTL_III_DCI|unisim|vcomponentsOBUFT_HSTL_III|unisim|vcomponentsOBUFT_HSTL_II_18|unisim|vcomponentsOBUFT_HSTL_II_DCI_18|unisim|vcomponentsOBUFT_HSTL_II_DCI|unisim|vcomponentsOBUFT_HSTL_II|unisim|vcomponentsOBUFT_HSTL_IV_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI|unisim|vcomponentsOBUFT_HSTL_IV|unisim|vcomponentsOBUFT_HSTL_I_18|unisim|vcomponentsOBUFT_HSTL_I_DCI_18|unisim|vcomponentsOBUFT_HSTL_I_DCI|unisim|vcomponentsOBUFT_HSTL_I|unisim|vcomponentsOBUFT_LVCMOS12_F_2|unisim|vcomponentsOBUFT_LVCMOS12_F_4|unisim|vcomponentsOBUFT_LVCMOS12_F_6|unisim|vcomponentsOBUFT_LVCMOS12_F_8|unisim|vcomponentsOBUFT_LVCMOS12_S_2|unisim|vcomponentsOBUFT_LVCMOS12_S_4|unisim|vcomponentsOBUFT_LVCMOS12_S_6|unisim|vcomponentsOBUFT_LVCMOS12_S_8|unisim|vcomponentsOBUFT_LVCMOS12|unisim|vcomponentsOBUFT_LVCMOS15_F_12|unisim|vcomponentsOBUFT_LVCMOS15_F_16|unisim|vcomponentsOBUFT_LVCMOS15_F_2|unisim|vcomponentsOBUFT_LVCMOS15_F_4|unisim|vcomponentsOBUFT_LVCMOS15_F_6|unisim|vcomponentsOBUFT_LVCMOS15_F_8|unisim|vcomponentsOBUFT_LVCMOS15_S_12|unisim|vcomponentsOBUFT_LVCMOS15_S_16|unisim|vcomponentsOBUFT_LVCMOS15_S_2|unisim|vcomponentsOBUFT_LVCMOS15_S_4|unisim|vcomponentsOBUFT_LVCMOS15_S_6|unisim|vcomponentsOBUFT_LVCMOS15_S_8|unisim|vcomponentsOBUFT_LVCMOS15|unisim|vcomponentsOBUFT_LVCMOS18_F_12|unisim|vcomponentsOBUFT_LVCMOS18_F_16|unisim|vcomponentsOBUFT_LVCMOS18_F_2|unisim|vcomponentsOBUFT_LVCMOS18_F_4|unisim|vcomponentsOBUFT_LVCMOS18_F_6|unisim|vcomponentsOBUFT_LVCMOS18_F_8|unisim|vcomponentsOBUFT_LVCMOS18_S_12|unisim|vcomponentsOBUFT_LVCMOS18_S_16|unisim|vcomponentsOBUFT_LVCMOS18_S_2|unisim|vcomponentsOBUFT_LVCMOS18_S_4|unisim|vcomponentsOBUFT_LVCMOS18_S_6|unisim|vcomponentsOBUFT_LVCMOS18_S_8|unisim|vcomponentsOBUFT_LVCMOS18|unisim|vcomponentsOBUFT_LVCMOS25_F_12|unisim|vcomponentsOBUFT_LVCMOS25_F_16|unisim|vcomponentsOBUFT_LVCMOS25_F_24|unisim|vcomponentsOBUFT_LVCMOS25_F_2|unisim|vcomponentsOBUFT_LVCMOS25_F_4|unisim|vcomponentsOBUFT_LVCMOS25_F_6|unisim|vcomponentsOBUFT_LVCMOS25_F_8|unisim|vcomponentsOBUFT_LVCMOS25_S_12|unisim|vcomponentsOBUFT_LVCMOS25_S_16|unisim|vcomponentsOBUFT_LVCMOS25_S_24|unisim|vcomponentsOBUFT_LVCMOS25_S_2|unisim|vcomponentsOBUFT_LVCMOS25_S_4|unisim|vcomponentsOBUFT_LVCMOS25_S_6|unisim|vcomponentsOBUFT_LVCMOS25_S_8|unisim|vcomponentsOBUFT_LVCMOS25|unisim|vcomponentsOBUFT_LVCMOS2|unisim|vcomponentsOBUFT_LVCMOS33_F_12|unisim|vcomponentsOBUFT_LVCMOS33_F_16|unisim|vcomponentsOBUFT_LVCMOS33_F_24|unisim|vcomponentsOBUFT_LVCMOS33_F_2|unisim|vcomponentsOBUFT_LVCMOS33_F_4|unisim|vcomponentsOBUFT_LVCMOS33_F_6|unisim|vcomponentsOBUFT_LVCMOS33_F_8|unisim|vcomponentsOBUFT_LVCMOS33_S_12|unisim|vcomponentsOBUFT_LVCMOS33_S_16|unisim|vcomponentsOBUFT_LVCMOS33_S_24|unisim|vcomponentsOBUFT_LVCMOS33_S_2|unisim|vcomponentsOBUFT_LVCMOS33_S_4|unisim|vcomponentsOBUFT_LVCMOS33_S_6|unisim|vcomponentsOBUFT_LVCMOS33_S_8|unisim|vcomponentsOBUFT_LVCMOS33|unisim|vcomponentsOBUFT_LVDCI_15|unisim|vcomponentsOBUFT_LVDCI_18|unisim|vcomponentsOBUFT_LVDCI_25|unisim|vcomponentsOBUFT_LVDCI_33|unisim|vcomponentsOBUFT_LVDCI_DV2_15|unisim|vcomponentsOBUFT_LVDCI_DV2_18|unisim|vcomponentsOBUFT_LVDCI_DV2_25|unisim|vcomponentsOBUFT_LVDCI_DV2_33|unisim|vcomponentsOBUFT_LVDS|unisim|vcomponentsOBUFT_LVPECL|unisim|vcomponentsOBUFT_LVTTL_F_12|unisim|vcomponentsOBUFT_LVTTL_F_16|unisim|vcomponentsOBUFT_LVTTL_F_24|unisim|vcomponentsOBUFT_LVTTL_F_2|unisim|vcomponentsOBUFT_LVTTL_F_4|unisim|vcomponentsOBUFT_LVTTL_F_6|unisim|vcomponentsOBUFT_LVTTL_F_8|unisim|vcomponentsOBUFT_LVTTL_S_12|unisim|vcomponentsOBUFT_LVTTL_S_16|unisim|vcomponentsOBUFT_LVTTL_S_24|unisim|vcomponentsOBUFT_LVTTL_S_2|unisim|vcomponentsOBUFT_LVTTL_S_4|unisim|vcomponentsOBUFT_LVTTL_S_6|unisim|vcomponentsOBUFT_LVTTL_S_8|unisim|vcomponentsOBUFT_LVTTL|unisim|vcomponentsOBUFT_PCI33_3|unisim|vcomponentsOBUFT_PCI33_5|unisim|vcomponentsOBUFT_PCI66_3|unisim|vcomponentsOBUFT_PCIX66_3|unisim|vcomponentsOBUFT_PCIX|unisim|vcomponentsOBUFT_SSTL18_II_DCI|unisim|vcomponentsOBUFT_SSTL18_II|unisim|vcomponentsOBUFT_SSTL18_I_DCI|unisim|vcomponentsOBUFT_SSTL18_I|unisim|vcomponentsOBUFT_SSTL2_II_DCI|unisim|vcomponentsOBUFT_SSTL2_II|unisim|vcomponentsOBUFT_SSTL2_I_DCI|unisim|vcomponentsOBUFT_SSTL2_I|unisim|vcomponentsOBUFT_SSTL3_II_DCI|unisim|vcomponentsOBUFT_SSTL3_II|unisim|vcomponentsOBUFT_SSTL3_I_DCI|unisim|vcomponentsOBUFT_SSTL3_I|unisim|vcomponentsOBUFT_S_12|unisim|vcomponentsOBUFT_S_16|unisim|vcomponentsOBUFT_S_24|unisim|vcomponentsOBUFT_S_2|unisim|vcomponentsOBUFT_S_4|unisim|vcomponentsOBUFT_S_6|unisim|vcomponentsOBUFT_S_8|unisim|vcomponentsOBUFT|unisim|vcomponentsOBUF_AGP|unisim|vcomponentsOBUF_CTT|unisim|vcomponentsOBUF_F_12|unisim|vcomponentsOBUF_F_16|unisim|vcomponentsOBUF_F_24|unisim|vcomponentsOBUF_F_2|unisim|vcomponentsOBUF_F_4|unisim|vcomponentsOBUF_F_6|unisim|vcomponentsOBUF_F_8|unisim|vcomponentsOBUF_GTLP_DCI|unisim|vcomponentsOBUF_GTLP|unisim|vcomponentsOBUF_GTL_DCI|unisim|vcomponentsOBUF_GTL|unisim|vcomponentsOBUF_HSTL_III_18|unisim|vcomponentsOBUF_HSTL_III_DCI_18|unisim|vcomponentsOBUF_HSTL_III_DCI|unisim|vcomponentsOBUF_HSTL_III|unisim|vcomponentsOBUF_HSTL_II_18|unisim|vcomponentsOBUF_HSTL_II_DCI_18|unisim|vcomponentsOBUF_HSTL_II_DCI|unisim|vcomponentsOBUF_HSTL_II|unisim|vcomponentsOBUF_HSTL_IV_18|unisim|vcomponentsOBUF_HSTL_IV_DCI_18|unisim|vcomponentsOBUF_HSTL_IV_DCI|unisim|vcomponentsOBUF_HSTL_IV|unisim|vcomponentsOBUF_HSTL_I_18|unisim|vcomponentsOBUF_HSTL_I_DCI_18|unisim|vcomponentsOBUF_HSTL_I_DCI|unisim|vcomponentsOBUF_HSTL_I|unisim|vcomponentsOBUF_LVCMOS12_F_2|unisim|vcomponentsOBUF_LVCMOS12_F_4|unisim|vcomponentsOBUF_LVCMOS12_F_6|unisim|vcomponentsOBUF_LVCMOS12_F_8|unisim|vcomponentsOBUF_LVCMOS12_S_2|unisim|vcomponentsOBUF_LVCMOS12_S_4|unisim|vcomponentsOBUF_LVCMOS12_S_6|unisim|vcomponentsOBUF_LVCMOS12_S_8|unisim|vcomponentsOBUF_LVCMOS12|unisim|vcomponentsOBUF_LVCMOS15_F_12|unisim|vcomponentsOBUF_LVCMOS15_F_16|unisim|vcomponentsOBUF_LVCMOS15_F_2|unisim|vcomponentsOBUF_LVCMOS15_F_4|unisim|vcomponentsOBUF_LVCMOS15_F_6|unisim|vcomponentsOBUF_LVCMOS15_F_8|unisim|vcomponentsOBUF_LVCMOS15_S_12|unisim|vcomponentsOBUF_LVCMOS15_S_16|unisim|vcomponentsOBUF_LVCMOS15_S_2|unisim|vcomponentsOBUF_LVCMOS15_S_4|unisim|vcomponentsOBUF_LVCMOS15_S_6|unisim|vcomponentsOBUF_LVCMOS15_S_8|unisim|vcomponentsOBUF_LVCMOS15|unisim|vcomponentsOBUF_LVCMOS18_F_12|unisim|vcomponentsOBUF_LVCMOS18_F_16|unisim|vcomponentsOBUF_LVCMOS18_F_2|unisim|vcomponentsOBUF_LVCMOS18_F_4|unisim|vcomponentsOBUF_LVCMOS18_F_6|unisim|vcomponentsOBUF_LVCMOS18_F_8|unisim|vcomponentsOBUF_LVCMOS18_S_12|unisim|vcomponentsOBUF_LVCMOS18_S_16|unisim|vcomponentsOBUF_LVCMOS18_S_2|unisim|vcomponentsOBUF_LVCMOS18_S_4|unisim|vcomponentsOBUF_LVCMOS18_S_6|unisim|vcomponentsOBUF_LVCMOS18_S_8|unisim|vcomponentsOBUF_LVCMOS18|unisim|vcomponentsOBUF_LVCMOS25_F_12|unisim|vcomponentsOBUF_LVCMOS25_F_16|unisim|vcomponentsOBUF_LVCMOS25_F_24|unisim|vcomponentsOBUF_LVCMOS25_F_2|unisim|vcomponentsOBUF_LVCMOS25_F_4|unisim|vcomponentsOBUF_LVCMOS25_F_6|unisim|vcomponentsOBUF_LVCMOS25_F_8|unisim|vcomponentsOBUF_LVCMOS25_S_12|unisim|vcomponentsOBUF_LVCMOS25_S_16|unisim|vcomponentsOBUF_LVCMOS25_S_24|unisim|vcomponentsOBUF_LVCMOS25_S_2|unisim|vcomponentsOBUF_LVCMOS25_S_4|unisim|vcomponentsOBUF_LVCMOS25_S_6|unisim|vcomponentsOBUF_LVCMOS25_S_8|unisim|vcomponentsOBUF_LVCMOS25|unisim|vcomponentsOBUF_LVCMOS2|unisim|vcomponentsOBUF_LVCMOS33_F_12|unisim|vcomponentsOBUF_LVCMOS33_F_16|unisim|vcomponentsOBUF_LVCMOS33_F_24|unisim|vcomponentsOBUF_LVCMOS33_F_2|unisim|vcomponentsOBUF_LVCMOS33_F_4|unisim|vcomponentsOBUF_LVCMOS33_F_6|unisim|vcomponentsOBUF_LVCMOS33_F_8|unisim|vcomponentsOBUF_LVCMOS33_S_12|unisim|vcomponentsOBUF_LVCMOS33_S_16|unisim|vcomponentsOBUF_LVCMOS33_S_24|unisim|vcomponentsOBUF_LVCMOS33_S_2|unisim|vcomponentsOBUF_LVCMOS33_S_4|unisim|vcomponentsOBUF_LVCMOS33_S_6|unisim|vcomponentsOBUF_LVCMOS33_S_8|unisim|vcomponentsOBUF_LVCMOS33|unisim|vcomponentsOBUF_LVDCI_15|unisim|vcomponentsOBUF_LVDCI_18|unisim|vcomponentsOBUF_LVDCI_25|unisim|vcomponentsOBUF_LVDCI_33|unisim|vcomponentsOBUF_LVDCI_DV2_15|unisim|vcomponentsOBUF_LVDCI_DV2_18|unisim|vcomponentsOBUF_LVDCI_DV2_25|unisim|vcomponentsOBUF_LVDCI_DV2_33|unisim|vcomponentsOBUF_LVDS|unisim|vcomponentsOBUF_LVPECL|unisim|vcomponentsOBUF_LVTTL_F_12|unisim|vcomponentsOBUF_LVTTL_F_16|unisim|vcomponentsOBUF_LVTTL_F_24|unisim|vcomponentsOBUF_LVTTL_F_2|unisim|vcomponentsOBUF_LVTTL_F_4|unisim|vcomponentsOBUF_LVTTL_F_6|unisim|vcomponentsOBUF_LVTTL_F_8|unisim|vcomponentsOBUF_LVTTL_S_12|unisim|vcomponentsOBUF_LVTTL_S_16|unisim|vcomponentsOBUF_LVTTL_S_24|unisim|vcomponentsOBUF_LVTTL_S_2|unisim|vcomponentsOBUF_LVTTL_S_4|unisim|vcomponentsOBUF_LVTTL_S_6|unisim|vcomponentsOBUF_LVTTL_S_8|unisim|vcomponentsOBUF_LVTTL|unisim|vcomponentsOBUF_PCI33_3|unisim|vcomponentsOBUF_PCI33_5|unisim|vcomponentsOBUF_PCI66_3|unisim|vcomponentsOBUF_PCIX66_3|unisim|vcomponentsOBUF_PCIX|unisim|vcomponentsOBUF_SSTL18_II_DCI|unisim|vcomponentsOBUF_SSTL18_II|unisim|vcomponentsOBUF_SSTL18_I_DCI|unisim|vcomponentsOBUF_SSTL18_I|unisim|vcomponentsOBUF_SSTL2_II_DCI|unisim|vcomponentsOBUF_SSTL2_II|unisim|vcomponentsOBUF_SSTL2_I_DCI|unisim|vcomponentsOBUF_SSTL2_I|unisim|vcomponentsOBUF_SSTL3_II_DCI|unisim|vcomponentsOBUF_SSTL3_II|unisim|vcomponentsOBUF_SSTL3_I_DCI|unisim|vcomponentsOBUF_SSTL3_I|unisim|vcomponentsOBUF_S_12|unisim|vcomponentsOBUF_S_16|unisim|vcomponentsOBUF_S_24|unisim|vcomponentsOBUF_S_2|unisim|vcomponentsOBUF_S_4|unisim|vcomponentsOBUF_S_6|unisim|vcomponentsOBUF_S_8|unisim|vcomponentsOBUF|unisim|vcomponentsODDR2|unisim|vcomponentsODDR|unisim|vcomponentsOFDDRCPE|unisim|vcomponentsOFDDRRSE|unisim|vcomponentsOFDDRTCPE|unisim|vcomponentsOFDDRTRSE|unisim|vcomponentsOPT_OFF|unisim|vcomponentsOPT_UIM|unisim|vcomponentsOR2B1|unisim|vcomponentsOR2B2|unisim|vcomponentsOR2|unisim|vcomponentsOR3B1|unisim|vcomponentsOR3B2|unisim|vcomponentsOR3B3|unisim|vcomponentsOR3|unisim|vcomponentsOR4B1|unisim|vcomponentsOR4B2|unisim|vcomponentsOR4B3|unisim|vcomponentsOR4B4|unisim|vcomponentsOR4|unisim|vcomponentsOR5B1|unisim|vcomponentsOR5B2|unisim|vcomponentsOR5B3|unisim|vcomponentsOR5B4|unisim|vcomponentsOR5B5|unisim|vcomponentsOR5|unisim|vcomponentsOR6|unisim|vcomponentsOR7|unisim|vcomponentsOR8|unisim|vcomponentsORCY|unisim|vcomponentsOSERDES|unisim|vcomponentsPCIE_EP|unisim|vcomponentsPCIE_INTERNAL_1_1|unisim|vcomponentsPLL_ADV|unisim|vcomponentsPLL_BASE|unisim|vcomponentsPMCD|unisim|vcomponentsPPC405_ADV|unisim|vcomponentsPPC405|unisim|vcomponentsPULLDOWN|unisim|vcomponentsPULLUP|unisim|vcomponentsRAM128X1D|unisim|vcomponentsRAM128X1S_1|unisim|vcomponentsRAM128X1S|unisim|vcomponentsRAM16X1D_1|unisim|vcomponentsRAM16X1D|unisim|vcomponentsRAM16X1S_1|unisim|vcomponentsRAM16X1S|unisim|vcomponentsRAM16X2S|unisim|vcomponentsRAM16X4S|unisim|vcomponentsRAM16X8S|unisim|vcomponentsRAM256X1S|unisim|vcomponentsRAM32M|unisim|vcomponentsRAM32X1D_1|unisim|vcomponentsRAM32X1D|unisim|vcomponentsRAM32X1S_1|unisim|vcomponentsRAM32X1S|unisim|vcomponentsRAM32X2S|unisim|vcomponentsRAM32X4S|unisim|vcomponentsRAM32X8S|unisim|vcomponentsRAM64M|unisim|vcomponentsRAM64X1D_1|unisim|vcomponentsRAM64X1D|unisim|vcomponentsRAM64X1S_1|unisim|vcomponentsRAM64X1S|unisim|vcomponentsRAM64X2S|unisim|vcomponentsRAMB16BWER|unisim|vcomponentsRAMB16BWE_S18_S18|unisim|vcomponentsRAMB16BWE_S18_S9|unisim|vcomponentsRAMB16BWE_S18|unisim|vcomponentsRAMB16BWE_S36_S18|unisim|vcomponentsRAMB16BWE_S36_S36|unisim|vcomponentsRAMB16BWE_S36_S9|unisim|vcomponentsRAMB16BWE_S36|unisim|vcomponentsRAMB16BWE|unisim|vcomponentsRAMB16_S18_S18|unisim|vcomponentsRAMB16_S18_S36|unisim|vcomponentsRAMB16_S18|unisim|vcomponentsRAMB16_S1_S18|unisim|vcomponentsRAMB16_S1_S1|unisim|vcomponentsRAMB16_S1_S2|unisim|vcomponentsRAMB16_S1_S36|unisim|vcomponentsRAMB16_S1_S4|unisim|vcomponentsRAMB16_S1_S9|unisim|vcomponentsRAMB16_S1|unisim|vcomponentsRAMB16_S2_S18|unisim|vcomponentsRAMB16_S2_S2|unisim|vcomponentsRAMB16_S2_S36|unisim|vcomponentsRAMB16_S2_S4|unisim|vcomponentsRAMB16_S2_S9|unisim|vcomponentsRAMB16_S2|unisim|vcomponentsRAMB16_S36_S36|unisim|vcomponentsRAMB16_S36|unisim|vcomponentsRAMB16_S4_S18|unisim|vcomponentsRAMB16_S4_S36|unisim|vcomponentsRAMB16_S4_S4|unisim|vcomponentsRAMB16_S4_S9|unisim|vcomponentsRAMB16_S4|unisim|vcomponentsRAMB16_S9_S18|unisim|vcomponentsRAMB16_S9_S36|unisim|vcomponentsRAMB16_S9_S9|unisim|vcomponentsRAMB16_S9|unisim|vcomponentsRAMB16|unisim|vcomponentsRAMB18SDP|unisim|vcomponentsRAMB18|unisim|vcomponentsRAMB32_S64_ECC|unisim|vcomponentsRAMB36SDP_EXP|unisim|vcomponentsRAMB36SDP|unisim|vcomponentsRAMB36_EXP|unisim|vcomponentsRAMB36|unisim|vcomponentsRAMB4_S16_S16|unisim|vcomponentsRAMB4_S16|unisim|vcomponentsRAMB4_S1_S16|unisim|vcomponentsRAMB4_S1_S1|unisim|vcomponentsRAMB4_S1_S2|unisim|vcomponentsRAMB4_S1_S4|unisim|vcomponentsRAMB4_S1_S8|unisim|vcomponentsRAMB4_S1|unisim|vcomponentsRAMB4_S2_S16|unisim|vcomponentsRAMB4_S2_S2|unisim|vcomponentsRAMB4_S2_S4|unisim|vcomponentsRAMB4_S2_S8|unisim|vcomponentsRAMB4_S2|unisim|vcomponentsRAMB4_S4_S16|unisim|vcomponentsRAMB4_S4_S4|unisim|vcomponentsRAMB4_S4_S8|unisim|vcomponentsRAMB4_S4|unisim|vcomponentsRAMB4_S8_S16|unisim|vcomponentsRAMB4_S8_S8|unisim|vcomponentsRAMB4_S8|unisim|vcomponentsROCBUF|unisim|vcomponentsROC|unisim|vcomponentsROM128X1|unisim|vcomponentsROM16X1|unisim|vcomponentsROM256X1|unisim|vcomponentsROM32X1|unisim|vcomponentsROM64X1|unisim|vcomponentsSPI_ACCESS|unisim|vcomponentsSRL16E_1|unisim|vcomponentsSRL16E|unisim|vcomponentsSRL16_1|unisim|vcomponentsSRL16|unisim|vcomponentsSRLC16E_1|unisim|vcomponentsSRLC16E|unisim|vcomponentsSRLC16_1|unisim|vcomponentsSRLC16|unisim|vcomponentsSRLC32E|unisim|vcomponentsSTARTBUF_FPGACORE|unisim|vcomponentsSTARTBUF_SPARTAN2|unisim|vcomponentsSTARTBUF_SPARTAN3|unisim|vcomponentsSTARTBUF_VIRTEX2|unisim|vcomponentsSTARTBUF_VIRTEX4|unisim|vcomponentsSTARTBUF_VIRTEX|unisim|vcomponentsSTARTUP_FPGACORE|unisim|vcomponentsSTARTUP_SPARTAN2|unisim|vcomponentsSTARTUP_SPARTAN3A|unisim|vcomponentsSTARTUP_SPARTAN3E|unisim|vcomponentsSTARTUP_SPARTAN3|unisim|vcomponentsSTARTUP_VIRTEX2|unisim|vcomponentsSTARTUP_VIRTEX4|unisim|vcomponentsSTARTUP_VIRTEX5|unisim|vcomponentsSTARTUP_VIRTEX|unisim|vcomponentsSYSMON|unisim|vcomponentsTBLOCK|unisim|vcomponentsTEMAC|unisim|vcomponentsTIMEGRP|unisim|vcomponentsTIMESPEC|unisim|vcomponentsTOCBUF|unisim|vcomponentsTOC|unisim|vcomponentsUSR_ACCESS_VIRTEX4|unisim|vcomponentsUSR_ACCESS_VIRTEX5|unisim|vcomponentsVCC|unisim|vcomponentsWIREAND|unisim|vcomponentsXNOR2|unisim|vcomponentsXNOR3|unisim|vcomponentsXNOR4|unisim|vcomponentsXNOR5|unisim|vcomponentsXOR2|unisim|vcomponentsXOR3|unisim|vcomponentsXOR4|unisim|vcomponentsXOR5|unisim|vcomponentsXORCY_D|unisim|vcomponentsXORCY_L|unisim|vcomponentsXORCY|unisim|vcomponentsX_AND16|simprim|vcomponentsX_AND2|simprim|vcomponentsX_AND32|simprim|vcomponentsX_AND3|simprim|vcomponentsX_AND4|simprim|vcomponentsX_AND5|simprim|vcomponentsX_AND6|simprim|vcomponentsX_AND7|simprim|vcomponentsX_AND8|simprim|vcomponentsX_AND9|simprim|vcomponentsX_BPAD|simprim|vcomponentsX_BSCAN_FPGACORE|simprim|vcomponentsX_BSCAN_SPARTAN2|simprim|vcomponentsX_BSCAN_SPARTAN3A|simprim|vcomponentsX_BSCAN_SPARTAN3|simprim|vcomponentsX_BSCAN_VIRTEX2|simprim|vcomponentsX_BSCAN_VIRTEX4|simprim|vcomponentsX_BSCAN_VIRTEX5|simprim|vcomponentsX_BSCAN_VIRTEX|simprim|vcomponentsX_BUFGCTRL|simprim|vcomponentsX_BUFGMUX_1|simprim|vcomponentsX_BUFGMUX|simprim|vcomponentsX_BUFR|simprim|vcomponentsX_BUF|simprim|vcomponentsX_CARRY4|simprim|vcomponentsX_CKBUF|simprim|vcomponentsX_CLKDLLE|simprim|vcomponentsX_CLKDLL|simprim|vcomponentsX_CLK_DIV|simprim|vcomponentsX_CRC32|simprim|vcomponentsX_CRC64|simprim|vcomponentsX_DCM_ADV|simprim|vcomponentsX_DCM_SP|simprim|vcomponentsX_DCM|simprim|vcomponentsX_DNA_PORT|simprim|vcomponentsX_DSP48A|simprim|vcomponentsX_DSP48E|simprim|vcomponentsX_DSP48|simprim|vcomponentsX_EMAC|simprim|vcomponentsX_FDDRCPE|simprim|vcomponentsX_FDDRRSE|simprim|vcomponentsX_FDD|simprim|vcomponentsX_FF_CPLD|simprim|vcomponentsX_FF|simprim|vcomponentsX_FIFO16|simprim|vcomponentsX_FIFO18_36|simprim|vcomponentsX_FIFO18|simprim|vcomponentsX_FIFO36_72_EXP|simprim|vcomponentsX_FIFO36_EXP|simprim|vcomponentsX_GT10|simprim|vcomponentsX_GT11CLK|simprim|vcomponentsX_GT11|simprim|vcomponentsX_GTP_DUAL|simprim|vcomponentsX_GT|simprim|vcomponentsX_IBUFDS_DLY_ADJ|simprim|vcomponentsX_IBUFDS|simprim|vcomponentsX_IBUF_DLY_ADJ|simprim|vcomponentsX_IDDR2|simprim|vcomponentsX_IDDR_2CLK|simprim|vcomponentsX_IDDR|simprim|vcomponentsX_IDELAYCTRL|simprim|vcomponentsX_IDELAY|simprim|vcomponentsX_INV|simprim|vcomponentsX_IODELAY|simprim|vcomponentsX_IPAD|simprim|vcomponentsX_ISERDES_NODELAY|simprim|vcomponentsX_ISERDES|simprim|vcomponentsX_KEEPER|simprim|vcomponentsX_LATCHE|simprim|vcomponentsX_LATCH_CPLD|simprim|vcomponentsX_LATCH|simprim|vcomponentsX_LUT2|simprim|vcomponentsX_LUT3|simprim|vcomponentsX_LUT4|simprim|vcomponentsX_LUT5|simprim|vcomponentsX_LUT6_2|simprim|vcomponentsX_LUT6|simprim|vcomponentsX_LUT7|simprim|vcomponentsX_LUT8|simprim|vcomponentsX_MULT18X18SIO|simprim|vcomponentsX_MULT18X18S|simprim|vcomponentsX_MULT18X18|simprim|vcomponentsX_MUX2|simprim|vcomponentsX_MUXDDR|simprim|vcomponentsX_OBUFDS|simprim|vcomponentsX_OBUFTDS|simprim|vcomponentsX_OBUFT|simprim|vcomponentsX_OBUF|simprim|vcomponentsX_ODDR2|simprim|vcomponentsX_ODDR|simprim|vcomponentsX_ONE|simprim|vcomponentsX_OPAD|simprim|vcomponentsX_OR16|simprim|vcomponentsX_OR2|simprim|vcomponentsX_OR32|simprim|vcomponentsX_OR3|simprim|vcomponentsX_OR4|simprim|vcomponentsX_OR5|simprim|vcomponentsX_OR6|simprim|vcomponentsX_OR7|simprim|vcomponentsX_OR8|simprim|vcomponentsX_OR9|simprim|vcomponentsX_OSERDES|simprim|vcomponentsX_PCIE_INTERNAL_1_1|simprim|vcomponentsX_PD|simprim|vcomponentsX_PLL_ADV|simprim|vcomponentsX_PMCD|simprim|vcomponentsX_PPC405_ADV|simprim|vcomponentsX_PPC405|simprim|vcomponentsX_PU|simprim|vcomponentsX_RAM32M|simprim|vcomponentsX_RAM64M|simprim|vcomponentsX_RAMB16BWER|simprim|vcomponentsX_RAMB16BWE|simprim|vcomponentsX_RAMB16_S18_S18|simprim|vcomponentsX_RAMB16_S18_S36|simprim|vcomponentsX_RAMB16_S18|simprim|vcomponentsX_RAMB16_S1_S18|simprim|vcomponentsX_RAMB16_S1_S1|simprim|vcomponentsX_RAMB16_S1_S2|simprim|vcomponentsX_RAMB16_S1_S36|simprim|vcomponentsX_RAMB16_S1_S4|simprim|vcomponentsX_RAMB16_S1_S9|simprim|vcomponentsX_RAMB16_S1|simprim|vcomponentsX_RAMB16_S2_S18|simprim|vcomponentsX_RAMB16_S2_S2|simprim|vcomponentsX_RAMB16_S2_S36|simprim|vcomponentsX_RAMB16_S2_S4|simprim|vcomponentsX_RAMB16_S2_S9|simprim|vcomponentsX_RAMB16_S2|simprim|vcomponentsX_RAMB16_S36_S36|simprim|vcomponentsX_RAMB16_S36|simprim|vcomponentsX_RAMB16_S4_S18|simprim|vcomponentsX_RAMB16_S4_S36|simprim|vcomponentsX_RAMB16_S4_S4|simprim|vcomponentsX_RAMB16_S4_S9|simprim|vcomponentsX_RAMB16_S4|simprim|vcomponentsX_RAMB16_S9_S18|simprim|vcomponentsX_RAMB16_S9_S36|simprim|vcomponentsX_RAMB16_S9_S9|simprim|vcomponentsX_RAMB16_S9|simprim|vcomponentsX_RAMB16|simprim|vcomponentsX_RAMB18SDP|simprim|vcomponentsX_RAMB18|simprim|vcomponentsX_RAMB36SDP_EXP|simprim|vcomponentsX_RAMB36_EXP|simprim|vcomponentsX_RAMB4_S16_S16|simprim|vcomponentsX_RAMB4_S16|simprim|vcomponentsX_RAMB4_S1_S16|simprim|vcomponentsX_RAMB4_S1_S1|simprim|vcomponentsX_RAMB4_S1_S2|simprim|vcomponentsX_RAMB4_S1_S4|simprim|vcomponentsX_RAMB4_S1_S8|simprim|vcomponentsX_RAMB4_S1|simprim|vcomponentsX_RAMB4_S2_S16|simprim|vcomponentsX_RAMB4_S2_S2|simprim|vcomponentsX_RAMB4_S2_S4|simprim|vcomponentsX_RAMB4_S2_S8|simprim|vcomponentsX_RAMB4_S2|simprim|vcomponentsX_RAMB4_S4_S16|simprim|vcomponentsX_RAMB4_S4_S4|simprim|vcomponentsX_RAMB4_S4_S8|simprim|vcomponentsX_RAMB4_S4|simprim|vcomponentsX_RAMB4_S8_S16|simprim|vcomponentsX_RAMB4_S8_S8|simprim|vcomponentsX_RAMB4_S8|simprim|vcomponentsX_RAMD128|simprim|vcomponentsX_RAMD16|simprim|vcomponentsX_RAMD32|simprim|vcomponentsX_RAMD64_ADV|simprim|vcomponentsX_RAMD64|simprim|vcomponentsX_RAMS128|simprim|vcomponentsX_RAMS16|simprim|vcomponentsX_RAMS256|simprim|vcomponentsX_RAMS32|simprim|vcomponentsX_RAMS64_ADV|simprim|vcomponentsX_RAMS64|simprim|vcomponentsX_ROCBUF|simprim|vcomponentsX_ROC|simprim|vcomponentsX_SFF|simprim|vcomponentsX_SPI_ACCESS|simprim|vcomponentsX_SRL16E|simprim|vcomponentsX_SRLC16E|simprim|vcomponentsX_SRLC32E|simprim|vcomponentsX_SUH|simprim|vcomponentsX_SYSMON|simprim|vcomponentsX_TEMAC|simprim|vcomponentsX_TOCBUF|simprim|vcomponentsX_TOC|simprim|vcomponentsX_TRI|simprim|vcomponentsX_UPAD|simprim|vcomponentsX_XOR16|simprim|vcomponentsX_XOR2|simprim|vcomponentsX_XOR32|simprim|vcomponentsX_XOR3|simprim|vcomponentsX_XOR4|simprim|vcomponentsX_XOR5|simprim|vcomponentsX_XOR6|simprim|vcomponentsX_XOR7|simprim|vcomponentsX_XOR8|simprim|vcomponentsX_ZERO|simprim|vcomponentsand2b1|unisim|vcomponentsand2b2|unisim|vcomponentsand2|unisim|vcomponentsand3b1|unisim|vcomponentsand3b2|unisim|vcomponentsand3b3|unisim|vcomponentsand3|unisim|vcomponentsand4b1|unisim|vcomponentsand4b2|unisim|vcomponentsand4b3|unisim|vcomponentsand4b4|unisim|vcomponentsand4|unisim|vcomponentsand5b1|unisim|vcomponentsand5b2|unisim|vcomponentsand5b3|unisim|vcomponentsand5b4|unisim|vcomponentsand5b5|unisim|vcomponentsand5|unisim|vcomponentsand6|unisim|vcomponentsand7|unisim|vcomponentsand8|unisim|vcomponentsbscan_fpgacore|unisim|vcomponentsbscan_spartan2|unisim|vcomponentsbscan_spartan3a|unisim|vcomponentsbscan_spartan3|unisim|vcomponentsbscan_virtex2|unisim|vcomponentsbscan_virtex4|unisim|vcomponentsbscan_virtex5|unisim|vcomponentsbscan_virtex|unisim|vcomponentsbufcf|unisim|vcomponentsbufe|unisim|vcomponentsbuffoe|unisim|vcomponentsbufgce_1|unisim|vcomponentsbufgce|unisim|vcomponentsbufgctrl|unisim|vcomponentsbufgdll|unisim|vcomponentsbufgmux_1|unisim|vcomponentsbufgmux_ctrl|unisim|vcomponentsbufgmux_virtex4|unisim|vcomponentsbufgmux|unisim|vcomponentsbufgp|unisim|vcomponentsbufgsr|unisim|vcomponentsbufgts|unisim|vcomponentsbufg|unisim|vcomponentsbufio|unisim|vcomponentsbufr|unisim|vcomponentsbuft|unisim|vcomponentsbuf|unisim|vcomponentscapture_fpgacore|unisim|vcomponentscapture_spartan2|unisim|vcomponentscapture_spartan3a|unisim|vcomponentscapture_spartan3|unisim|vcomponentscapture_virtex2|unisim|vcomponentscapture_virtex4|unisim|vcomponentscapture_virtex5|unisim|vcomponentscapture_virtex|unisim|vcomponentscarry4|unisim|vcomponentscfglut5|unisim|vcomponentsclk_div10rsd|unisim|vcomponentsclk_div10r|unisim|vcomponentsclk_div10sd|unisim|vcomponentsclk_div10|unisim|vcomponentsclk_div12rsd|unisim|vcomponentsclk_div12r|unisim|vcomponentsclk_div12sd|unisim|vcomponentsclk_div12|unisim|vcomponentsclk_div14rsd|unisim|vcomponentsclk_div14r|unisim|vcomponentsclk_div14sd|unisim|vcomponentsclk_div14|unisim|vcomponentsclk_div16rsd|unisim|vcomponentsclk_div16r|unisim|vcomponentsclk_div16sd|unisim|vcomponentsclk_div16|unisim|vcomponentsclk_div2rsd|unisim|vcomponentsclk_div2r|unisim|vcomponentsclk_div2sd|unisim|vcomponentsclk_div2|unisim|vcomponentsclk_div4rsd|unisim|vcomponentsclk_div4r|unisim|vcomponentsclk_div4sd|unisim|vcomponentsclk_div4|unisim|vcomponentsclk_div6rsd|unisim|vcomponentsclk_div6r|unisim|vcomponentsclk_div6sd|unisim|vcomponentsclk_div6|unisim|vcomponentsclk_div8rsd|unisim|vcomponentsclk_div8r|unisim|vcomponentsclk_div8sd|unisim|vcomponentsclk_div8|unisim|vcomponentsclkdlle|unisim|vcomponentsclkdllhf|unisim|vcomponentsclkdll|unisim|vcomponentsconfig|unisim|vcomponentscrc32|unisim|vcomponentscrc64|unisim|vcomponentsdcc_fpgacore|unisim|vcomponentsdcireset|unisim|vcomponentsdcm_adv|unisim|vcomponentsdcm_base|unisim|vcomponentsdcm_ps|unisim|vcomponentsdcm_sp|unisim|vcomponentsdcm|unisim|vcomponentsdna_port|unisim|vcomponentsdsp48a|unisim|vcomponentsdsp48e|unisim|vcomponentsdsp48|unisim|vcomponentsemac|unisim|vcomponentsfd_1|unisim|vcomponentsfdc_1|unisim|vcomponentsfdce_1|unisim|vcomponentsfdce|unisim|vcomponentsfdcp_1|unisim|vcomponentsfdcpe_1|unisim|vcomponentsfdcpe|unisim|vcomponentsfdcpx1|unisim|vcomponentsfdcp|unisim|vcomponentsfdc|unisim|vcomponentsfddce|unisim|vcomponentsfddcpe|unisim|vcomponentsfddcp|unisim|vcomponentsfddc|unisim|vcomponentsfddpe|unisim|vcomponentsfddp|unisim|vcomponentsfddrcpe|unisim|vcomponentsfddrrse|unisim|vcomponentsfdd|unisim|vcomponentsfde_1|unisim|vcomponentsfde|unisim|vcomponentsfdp_1|unisim|vcomponentsfdpe_1|unisim|vcomponentsfdpe|unisim|vcomponentsfdp|unisim|vcomponentsfdr_1|unisim|vcomponentsfdre_1|unisim|vcomponentsfdre|unisim|vcomponentsfdrs_1|unisim|vcomponentsfdrse_1|unisim|vcomponentsfdrse|unisim|vcomponentsfdrs|unisim|vcomponentsfdr|unisim|vcomponentsfds_1|unisim|vcomponentsfdse_1|unisim|vcomponentsfdse|unisim|vcomponentsfds|unisim|vcomponentsfd|unisim|vcomponentsfifo16|unisim|vcomponentsfifo18_36|unisim|vcomponentsfifo18|unisim|vcomponentsfifo36_72_exp|unisim|vcomponentsfifo36_72|unisim|vcomponentsfifo36_exp|unisim|vcomponentsfifo36|unisim|vcomponentsfmap|unisim|vcomponentsframe_ecc_virtex4|unisim|vcomponentsframe_ecc_virtex5|unisim|vcomponentsftcp|unisim|vcomponentsftc|unisim|vcomponentsftp|unisim|vcomponentsgnd|unisim|vcomponentsgt10_10ge_4|unisim|vcomponentsgt10_10ge_8|unisim|vcomponentsgt10_10gfc_4|unisim|vcomponentsgt10_10gfc_8|unisim|vcomponentsgt10_aurora_1|unisim|vcomponentsgt10_aurora_2|unisim|vcomponentsgt10_aurora_4|unisim|vcomponentsgt10_aurorax_4|unisim|vcomponentsgt10_aurorax_8|unisim|vcomponentsgt10_custom|unisim|vcomponentsgt10_infiniband_1|unisim|vcomponentsgt10_infiniband_2|unisim|vcomponentsgt10_infiniband_4|unisim|vcomponentsgt10_oc192_4|unisim|vcomponentsgt10_oc192_8|unisim|vcomponentsgt10_oc48_1|unisim|vcomponentsgt10_oc48_2|unisim|vcomponentsgt10_oc48_4|unisim|vcomponentsgt10_pci_express_1|unisim|vcomponentsgt10_pci_express_2|unisim|vcomponentsgt10_pci_express_4|unisim|vcomponentsgt10_xaui_1|unisim|vcomponentsgt10_xaui_2|unisim|vcomponentsgt10_xaui_4|unisim|vcomponentsgt10|unisim|vcomponentsgt11_custom|unisim|vcomponentsgt11_dual|unisim|vcomponentsgt11clk_mgt|unisim|vcomponentsgt11clk|unisim|vcomponentsgt11|unisim|vcomponentsgt_aurora_1|unisim|vcomponentsgt_aurora_2|unisim|vcomponentsgt_aurora_4|unisim|vcomponentsgt_custom|unisim|vcomponentsgt_ethernet_1|unisim|vcomponentsgt_ethernet_2|unisim|vcomponentsgt_ethernet_4|unisim|vcomponentsgt_fibre_chan_1|unisim|vcomponentsgt_fibre_chan_2|unisim|vcomponentsgt_fibre_chan_4|unisim|vcomponentsgt_infiniband_1|unisim|vcomponentsgt_infiniband_2|unisim|vcomponentsgt_infiniband_4|unisim|vcomponentsgt_xaui_1|unisim|vcomponentsgt_xaui_2|unisim|vcomponentsgt_xaui_4|unisim|vcomponentsgtp_dual|unisim|vcomponentsgt|unisim|vcomponentsibuf_agp|unisim|vcomponentsibuf_ctt|unisim|vcomponentsibuf_dly_adj|unisim|vcomponentsibuf_gtl_dci|unisim|vcomponentsibuf_gtlp_dci|unisim|vcomponentsibuf_gtlp|unisim|vcomponentsibuf_gtl|unisim|vcomponentsibuf_hstl_i_18|unisim|vcomponentsibuf_hstl_i_dci_18|unisim|vcomponentsibuf_hstl_i_dci|unisim|vcomponentsibuf_hstl_ii_18|unisim|vcomponentsibuf_hstl_ii_dci_18|unisim|vcomponentsibuf_hstl_ii_dci|unisim|vcomponentsibuf_hstl_iii_18|unisim|vcomponentsibuf_hstl_iii_dci_18|unisim|vcomponentsibuf_hstl_iii_dci|unisim|vcomponentsibuf_hstl_iii|unisim|vcomponentsibuf_hstl_ii|unisim|vcomponentsibuf_hstl_iv_18|unisim|vcomponentsibuf_hstl_iv_dci_18|unisim|vcomponentsibuf_hstl_iv_dci|unisim|vcomponentsibuf_hstl_iv|unisim|vcomponentsibuf_hstl_i|unisim|vcomponentsibuf_lvcmos12|unisim|vcomponentsibuf_lvcmos15|unisim|vcomponentsibuf_lvcmos18|unisim|vcomponentsibuf_lvcmos25|unisim|vcomponentsibuf_lvcmos2|unisim|vcomponentsibuf_lvcmos33|unisim|vcomponentsibuf_lvdci_15|unisim|vcomponentsibuf_lvdci_18|unisim|vcomponentsibuf_lvdci_25|unisim|vcomponentsibuf_lvdci_33|unisim|vcomponentsibuf_lvdci_dv2_15|unisim|vcomponentsibuf_lvdci_dv2_18|unisim|vcomponentsibuf_lvdci_dv2_25|unisim|vcomponentsibuf_lvdci_dv2_33|unisim|vcomponentsibuf_lvds|unisim|vcomponentsibuf_lvpecl|unisim|vcomponentsibuf_lvttl|unisim|vcomponentsibuf_pci33_3|unisim|vcomponentsibuf_pci33_5|unisim|vcomponentsibuf_pci66_3|unisim|vcomponentsibuf_pcix66_3|unisim|vcomponentsibuf_pcix|unisim|vcomponentsibuf_sstl18_i_dci|unisim|vcomponentsibuf_sstl18_ii_dci|unisim|vcomponentsibuf_sstl18_ii|unisim|vcomponentsibuf_sstl18_i|unisim|vcomponentsibuf_sstl2_i_dci|unisim|vcomponentsibuf_sstl2_ii_dci|unisim|vcomponentsibuf_sstl2_ii|unisim|vcomponentsibuf_sstl2_i|unisim|vcomponentsibuf_sstl3_i_dci|unisim|vcomponentsibuf_sstl3_ii_dci|unisim|vcomponentsibuf_sstl3_ii|unisim|vcomponentsibuf_sstl3_i|unisim|vcomponentsibufds_blvds_25|unisim|vcomponentsibufds_diff_out|unisim|vcomponentsibufds_dly_adj|unisim|vcomponentsibufds_ldt_25|unisim|vcomponentsibufds_lvds_25_dci|unisim|vcomponentsibufds_lvds_25|unisim|vcomponentsibufds_lvds_33_dci|unisim|vcomponentsibufds_lvds_33|unisim|vcomponentsibufds_lvdsext_25_dci|unisim|vcomponentsibufds_lvdsext_25|unisim|vcomponentsibufds_lvdsext_33_dci|unisim|vcomponentsibufds_lvdsext_33|unisim|vcomponentsibufds_lvpecl_25|unisim|vcomponentsibufds_lvpecl_33|unisim|vcomponentsibufds_ulvds_25|unisim|vcomponentsibufds|unisim|vcomponentsibufg_agp|unisim|vcomponentsibufg_ctt|unisim|vcomponentsibufg_gtl_dci|unisim|vcomponentsibufg_gtlp_dci|unisim|vcomponentsibufg_gtlp|unisim|vcomponentsibufg_gtl|unisim|vcomponentsibufg_hstl_i_18|unisim|vcomponentsibufg_hstl_i_dci_18|unisim|vcomponentsibufg_hstl_i_dci|unisim|vcomponentsibufg_hstl_ii_18|unisim|vcomponentsibufg_hstl_ii_dci_18|unisim|vcomponentsibufg_hstl_ii_dci|unisim|vcomponentsibufg_hstl_iii_18|unisim|vcomponentsibufg_hstl_iii_dci_18|unisim|vcomponentsibufg_hstl_iii_dci|unisim|vcomponentsibufg_hstl_iii|unisim|vcomponentsibufg_hstl_ii|unisim|vcomponentsibufg_hstl_iv_18|unisim|vcomponentsibufg_hstl_iv_dci_18|unisim|vcomponentsibufg_hstl_iv_dci|unisim|vcomponentsibufg_hstl_iv|unisim|vcomponentsibufg_hstl_i|unisim|vcomponentsibufg_lvcmos12|unisim|vcomponentsibufg_lvcmos15|unisim|vcomponentsibufg_lvcmos18|unisim|vcomponentsibufg_lvcmos25|unisim|vcomponentsibufg_lvcmos2|unisim|vcomponentsibufg_lvcmos33|unisim|vcomponentsibufg_lvdci_15|unisim|vcomponentsibufg_lvdci_18|unisim|vcomponentsibufg_lvdci_25|unisim|vcomponentsibufg_lvdci_33|unisim|vcomponentsibufg_lvdci_dv2_15|unisim|vcomponentsibufg_lvdci_dv2_18|unisim|vcomponentsibufg_lvdci_dv2_25|unisim|vcomponentsibufg_lvdci_dv2_33|unisim|vcomponentsibufg_lvds|unisim|vcomponentsibufg_lvpecl|unisim|vcomponentsibufg_lvttl|unisim|vcomponentsibufg_pci33_3|unisim|vcomponentsibufg_pci33_5|unisim|vcomponentsibufg_pci66_3|unisim|vcomponentsibufg_pcix66_3|unisim|vcomponentsibufg_pcix|unisim|vcomponentsibufg_sstl18_i_dci|unisim|vcomponentsibufg_sstl18_ii_dci|unisim|vcomponentsibufg_sstl18_ii|unisim|vcomponentsibufg_sstl18_i|unisim|vcomponentsibufg_sstl2_i_dci|unisim|vcomponentsibufg_sstl2_ii_dci|unisim|vcomponentsibufg_sstl2_ii|unisim|vcomponentsibufg_sstl2_i|unisim|vcomponentsibufg_sstl3_i_dci|unisim|vcomponentsibufg_sstl3_ii_dci|unisim|vcomponentsibufg_sstl3_ii|unisim|vcomponentsibufg_sstl3_i|unisim|vcomponentsibufgds_blvds_25|unisim|vcomponentsibufgds_diff_out|unisim|vcomponentsibufgds_ldt_25|unisim|vcomponentsibufgds_lvds_25_dci|unisim|vcomponentsibufgds_lvds_25|unisim|vcomponentsibufgds_lvds_33_dci|unisim|vcomponentsibufgds_lvds_33|unisim|vcomponentsibufgds_lvdsext_25_dci|unisim|vcomponentsibufgds_lvdsext_25|unisim|vcomponentsibufgds_lvdsext_33_dci|unisim|vcomponentsibufgds_lvdsext_33|unisim|vcomponentsibufgds_lvpecl_25|unisim|vcomponentsibufgds_lvpecl_33|unisim|vcomponentsibufgds_ulvds_25|unisim|vcomponentsibufgds|unisim|vcomponentsibufg|unisim|vcomponentsibuf|unisim|vcomponentsicap_spartan3a|unisim|vcomponentsicap_virtex2|unisim|vcomponentsicap_virtex4|unisim|vcomponentsicap_virtex5|unisim|vcomponentsiddr2|unisim|vcomponentsiddr_2clk|unisim|vcomponentsiddr|unisim|vcomponentsidelayctrl|unisim|vcomponentsidelay|unisim|vcomponentsifddrcpe|unisim|vcomponentsifddrrse|unisim|vcomponentsild|unisim|vcomponentsinv|unisim|vcomponentsiobuf_agp|unisim|vcomponentsiobuf_ctt|unisim|vcomponentsiobuf_f_12|unisim|vcomponentsiobuf_f_16|unisim|vcomponentsiobuf_f_24|unisim|vcomponentsiobuf_f_2|unisim|vcomponentsiobuf_f_4|unisim|vcomponentsiobuf_f_6|unisim|vcomponentsiobuf_f_8|unisim|vcomponentsiobuf_gtl_dci|unisim|vcomponentsiobuf_gtlp_dci|unisim|vcomponentsiobuf_gtlp|unisim|vcomponentsiobuf_gtl|unisim|vcomponentsiobuf_hstl_i_18|unisim|vcomponentsiobuf_hstl_ii_18|unisim|vcomponentsiobuf_hstl_ii_dci_18|unisim|vcomponentsiobuf_hstl_ii_dci|unisim|vcomponentsiobuf_hstl_iii_18|unisim|vcomponentsiobuf_hstl_iii|unisim|vcomponentsiobuf_hstl_ii|unisim|vcomponentsiobuf_hstl_iv_18|unisim|vcomponentsiobuf_hstl_iv_dci_18|unisim|vcomponentsiobuf_hstl_iv_dci|unisim|vcomponentsiobuf_hstl_iv|unisim|vcomponentsiobuf_hstl_i|unisim|vcomponentsiobuf_lvcmos12_f_2|unisim|vcomponentsiobuf_lvcmos12_f_4|unisim|vcomponentsiobuf_lvcmos12_f_6|unisim|vcomponentsiobuf_lvcmos12_f_8|unisim|vcomponentsiobuf_lvcmos12_s_2|unisim|vcomponentsiobuf_lvcmos12_s_4|unisim|vcomponentsiobuf_lvcmos12_s_6|unisim|vcomponentsiobuf_lvcmos12_s_8|unisim|vcomponentsiobuf_lvcmos12|unisim|vcomponentsiobuf_lvcmos15_f_12|unisim|vcomponentsiobuf_lvcmos15_f_16|unisim|vcomponentsiobuf_lvcmos15_f_2|unisim|vcomponentsiobuf_lvcmos15_f_4|unisim|vcomponentsiobuf_lvcmos15_f_6|unisim|vcomponentsiobuf_lvcmos15_f_8|unisim|vcomponentsiobuf_lvcmos15_s_12|unisim|vcomponentsiobuf_lvcmos15_s_16|unisim|vcomponentsiobuf_lvcmos15_s_2|unisim|vcomponentsiobuf_lvcmos15_s_4|unisim|vcomponentsiobuf_lvcmos15_s_6|unisim|vcomponentsiobuf_lvcmos15_s_8|unisim|vcomponentsiobuf_lvcmos15|unisim|vcomponentsiobuf_lvcmos18_f_12|unisim|vcomponentsiobuf_lvcmos18_f_16|unisim|vcomponentsiobuf_lvcmos18_f_2|unisim|vcomponentsiobuf_lvcmos18_f_4|unisim|vcomponentsiobuf_lvcmos18_f_6|unisim|vcomponentsiobuf_lvcmos18_f_8|unisim|vcomponentsiobuf_lvcmos18_s_12|unisim|vcomponentsiobuf_lvcmos18_s_16|unisim|vcomponentsiobuf_lvcmos18_s_2|unisim|vcomponentsiobuf_lvcmos18_s_4|unisim|vcomponentsiobuf_lvcmos18_s_6|unisim|vcomponentsiobuf_lvcmos18_s_8|unisim|vcomponentsiobuf_lvcmos18|unisim|vcomponentsiobuf_lvcmos25_f_12|unisim|vcomponentsiobuf_lvcmos25_f_16|unisim|vcomponentsiobuf_lvcmos25_f_24|unisim|vcomponentsiobuf_lvcmos25_f_2|unisim|vcomponentsiobuf_lvcmos25_f_4|unisim|vcomponentsiobuf_lvcmos25_f_6|unisim|vcomponentsiobuf_lvcmos25_f_8|unisim|vcomponentsiobuf_lvcmos25_s_12|unisim|vcomponentsiobuf_lvcmos25_s_16|unisim|vcomponentsiobuf_lvcmos25_s_24|unisim|vcomponentsiobuf_lvcmos25_s_2|unisim|vcomponentsiobuf_lvcmos25_s_4|unisim|vcomponentsiobuf_lvcmos25_s_6|unisim|vcomponentsiobuf_lvcmos25_s_8|unisim|vcomponentsiobuf_lvcmos25|unisim|vcomponentsiobuf_lvcmos2|unisim|vcomponentsiobuf_lvcmos33_f_12|unisim|vcomponentsiobuf_lvcmos33_f_16|unisim|vcomponentsiobuf_lvcmos33_f_24|unisim|vcomponentsiobuf_lvcmos33_f_2|unisim|vcomponentsiobuf_lvcmos33_f_4|unisim|vcomponentsiobuf_lvcmos33_f_6|unisim|vcomponentsiobuf_lvcmos33_f_8|unisim|vcomponentsiobuf_lvcmos33_s_12|unisim|vcomponentsiobuf_lvcmos33_s_16|unisim|vcomponentsiobuf_lvcmos33_s_24|unisim|vcomponentsiobuf_lvcmos33_s_2|unisim|vcomponentsiobuf_lvcmos33_s_4|unisim|vcomponentsiobuf_lvcmos33_s_6|unisim|vcomponentsiobuf_lvcmos33_s_8|unisim|vcomponentsiobuf_lvcmos33|unisim|vcomponentsiobuf_lvdci_15|unisim|vcomponentsiobuf_lvdci_18|unisim|vcomponentsiobuf_lvdci_25|unisim|vcomponentsiobuf_lvdci_33|unisim|vcomponentsiobuf_lvdci_dv2_15|unisim|vcomponentsiobuf_lvdci_dv2_18|unisim|vcomponentsiobuf_lvdci_dv2_25|unisim|vcomponentsiobuf_lvdci_dv2_33|unisim|vcomponentsiobuf_lvds|unisim|vcomponentsiobuf_lvpecl|unisim|vcomponentsiobuf_lvttl_f_12|unisim|vcomponentsiobuf_lvttl_f_16|unisim|vcomponentsiobuf_lvttl_f_24|unisim|vcomponentsiobuf_lvttl_f_2|unisim|vcomponentsiobuf_lvttl_f_4|unisim|vcomponentsiobuf_lvttl_f_6|unisim|vcomponentsiobuf_lvttl_f_8|unisim|vcomponentsiobuf_lvttl_s_12|unisim|vcomponentsiobuf_lvttl_s_16|unisim|vcomponentsiobuf_lvttl_s_24|unisim|vcomponentsiobuf_lvttl_s_2|unisim|vcomponentsiobuf_lvttl_s_4|unisim|vcomponentsiobuf_lvttl_s_6|unisim|vcomponentsiobuf_lvttl_s_8|unisim|vcomponentsiobuf_lvttl|unisim|vcomponentsiobuf_pci33_3|unisim|vcomponentsiobuf_pci33_5|unisim|vcomponentsiobuf_pci66_3|unisim|vcomponentsiobuf_pcix66_3|unisim|vcomponentsiobuf_pcix|unisim|vcomponentsiobuf_s_12|unisim|vcomponentsiobuf_s_16|unisim|vcomponentsiobuf_s_24|unisim|vcomponentsiobuf_s_2|unisim|vcomponentsiobuf_s_4|unisim|vcomponentsiobuf_s_6|unisim|vcomponentsiobuf_s_8|unisim|vcomponentsiobuf_sstl18_ii_dci|unisim|vcomponentsiobuf_sstl18_ii|unisim|vcomponentsiobuf_sstl18_i|unisim|vcomponentsiobuf_sstl2_ii_dci|unisim|vcomponentsiobuf_sstl2_ii|unisim|vcomponentsiobuf_sstl2_i|unisim|vcomponentsiobuf_sstl3_ii_dci|unisim|vcomponentsiobuf_sstl3_ii|unisim|vcomponentsiobuf_sstl3_i|unisim|vcomponentsiobufds_blvds_25|unisim|vcomponentsiobufds|unisim|vcomponentsiobufe_f|unisim|vcomponentsiobufe_s|unisim|vcomponentsiobufe|unisim|vcomponentsiobuf|unisim|vcomponentsiodelay|unisim|vcomponentsiserdes_nodelay|unisim|vcomponentsiserdes|unisim|vcomponentsjtagppc|unisim|vcomponentskeeper|unisim|vcomponentskeep|unisim|vcomponentskey_clear|unisim|vcomponentsld_1|unisim|vcomponentsldc_1|unisim|vcomponentsldce_1|unisim|vcomponentsldce|unisim|vcomponentsldcp_1|unisim|vcomponentsldcpe_1|unisim|vcomponentsldcpe|unisim|vcomponentsldcp|unisim|vcomponentsldc|unisim|vcomponentslde_1|unisim|vcomponentslde|unisim|vcomponentsldg|unisim|vcomponentsldp_1|unisim|vcomponentsldpe_1|unisim|vcomponentsldpe|unisim|vcomponentsldp|unisim|vcomponentsld|unisim|vcomponentslut1_d|unisim|vcomponentslut1_l|unisim|vcomponentslut1|unisim|vcomponentslut2_d|unisim|vcomponentslut2_l|unisim|vcomponentslut2|unisim|vcomponentslut3_d|unisim|vcomponentslut3_l|unisim|vcomponentslut3|unisim|vcomponentslut4_d|unisim|vcomponentslut4_l|unisim|vcomponentslut4|unisim|vcomponentslut5_d|unisim|vcomponentslut5_l|unisim|vcomponentslut5|unisim|vcomponentslut6_2|unisim|vcomponentslut6_d|unisim|vcomponentslut6_l|unisim|vcomponentslut6|unisim|vcomponentsmerge|unisim|vcomponentsmin_off|unisim|vcomponentsmult18x18sio|unisim|vcomponentsmult18x18s|unisim|vcomponentsmult18x18|unisim|vcomponentsmult_and|unisim|vcomponentsmuxcy_d|unisim|vcomponentsmuxcy_l|unisim|vcomponentsmuxcy|unisim|vcomponentsmuxf5_d|unisim|vcomponentsmuxf5_l|unisim|vcomponentsmuxf5|unisim|vcomponentsmuxf6_d|unisim|vcomponentsmuxf6_l|unisim|vcomponentsmuxf6|unisim|vcomponentsmuxf7_d|unisim|vcomponentsmuxf7_l|unisim|vcomponentsmuxf7|unisim|vcomponentsmuxf8_d|unisim|vcomponentsmuxf8_l|unisim|vcomponentsmuxf8|unisim|vcomponentsnand2b1|unisim|vcomponentsnand2b2|unisim|vcomponentsnand2|unisim|vcomponentsnand3b1|unisim|vcomponentsnand3b2|unisim|vcomponentsnand3b3|unisim|vcomponentsnand3|unisim|vcomponentsnand4b1|unisim|vcomponentsnand4b2|unisim|vcomponentsnand4b3|unisim|vcomponentsnand4b4|unisim|vcomponentsnand4|unisim|vcomponentsnand5b1|unisim|vcomponentsnand5b2|unisim|vcomponentsnand5b3|unisim|vcomponentsnand5b4|unisim|vcomponentsnand5b5|unisim|vcomponentsnand5|unisim|vcomponentsnor2b1|unisim|vcomponentsnor2b2|unisim|vcomponentsnor2|unisim|vcomponentsnor3b1|unisim|vcomponentsnor3b2|unisim|vcomponentsnor3b3|unisim|vcomponentsnor3|unisim|vcomponentsnor4b1|unisim|vcomponentsnor4b2|unisim|vcomponentsnor4b3|unisim|vcomponentsnor4b4|unisim|vcomponentsnor4|unisim|vcomponentsnor5b1|unisim|vcomponentsnor5b2|unisim|vcomponentsnor5b3|unisim|vcomponentsnor5b4|unisim|vcomponentsnor5b5|unisim|vcomponentsnor5|unisim|vcomponentsobuf_agp|unisim|vcomponentsobuf_ctt|unisim|vcomponentsobuf_f_12|unisim|vcomponentsobuf_f_16|unisim|vcomponentsobuf_f_24|unisim|vcomponentsobuf_f_2|unisim|vcomponentsobuf_f_4|unisim|vcomponentsobuf_f_6|unisim|vcomponentsobuf_f_8|unisim|vcomponentsobuf_gtl_dci|unisim|vcomponentsobuf_gtlp_dci|unisim|vcomponentsobuf_gtlp|unisim|vcomponentsobuf_gtl|unisim|vcomponentsobuf_hstl_i_18|unisim|vcomponentsobuf_hstl_i_dci_18|unisim|vcomponentsobuf_hstl_i_dci|unisim|vcomponentsobuf_hstl_ii_18|unisim|vcomponentsobuf_hstl_ii_dci_18|unisim|vcomponentsobuf_hstl_ii_dci|unisim|vcomponentsobuf_hstl_iii_18|unisim|vcomponentsobuf_hstl_iii_dci_18|unisim|vcomponentsobuf_hstl_iii_dci|unisim|vcomponentsobuf_hstl_iii|unisim|vcomponentsobuf_hstl_ii|unisim|vcomponentsobuf_hstl_iv_18|unisim|vcomponentsobuf_hstl_iv_dci_18|unisim|vcomponentsobuf_hstl_iv_dci|unisim|vcomponentsobuf_hstl_iv|unisim|vcomponentsobuf_hstl_i|unisim|vcomponentsobuf_lvcmos12_f_2|unisim|vcomponentsobuf_lvcmos12_f_4|unisim|vcomponentsobuf_lvcmos12_f_6|unisim|vcomponentsobuf_lvcmos12_f_8|unisim|vcomponentsobuf_lvcmos12_s_2|unisim|vcomponentsobuf_lvcmos12_s_4|unisim|vcomponentsobuf_lvcmos12_s_6|unisim|vcomponentsobuf_lvcmos12_s_8|unisim|vcomponentsobuf_lvcmos12|unisim|vcomponentsobuf_lvcmos15_f_12|unisim|vcomponentsobuf_lvcmos15_f_16|unisim|vcomponentsobuf_lvcmos15_f_2|unisim|vcomponentsobuf_lvcmos15_f_4|unisim|vcomponentsobuf_lvcmos15_f_6|unisim|vcomponentsobuf_lvcmos15_f_8|unisim|vcomponentsobuf_lvcmos15_s_12|unisim|vcomponentsobuf_lvcmos15_s_16|unisim|vcomponentsobuf_lvcmos15_s_2|unisim|vcomponentsobuf_lvcmos15_s_4|unisim|vcomponentsobuf_lvcmos15_s_6|unisim|vcomponentsobuf_lvcmos15_s_8|unisim|vcomponentsobuf_lvcmos15|unisim|vcomponentsobuf_lvcmos18_f_12|unisim|vcomponentsobuf_lvcmos18_f_16|unisim|vcomponentsobuf_lvcmos18_f_2|unisim|vcomponentsobuf_lvcmos18_f_4|unisim|vcomponentsobuf_lvcmos18_f_6|unisim|vcomponentsobuf_lvcmos18_f_8|unisim|vcomponentsobuf_lvcmos18_s_12|unisim|vcomponentsobuf_lvcmos18_s_16|unisim|vcomponentsobuf_lvcmos18_s_2|unisim|vcomponentsobuf_lvcmos18_s_4|unisim|vcomponentsobuf_lvcmos18_s_6|unisim|vcomponentsobuf_lvcmos18_s_8|unisim|vcomponentsobuf_lvcmos18|unisim|vcomponentsobuf_lvcmos25_f_12|unisim|vcomponentsobuf_lvcmos25_f_16|unisim|vcomponentsobuf_lvcmos25_f_24|unisim|vcomponentsobuf_lvcmos25_f_2|unisim|vcomponentsobuf_lvcmos25_f_4|unisim|vcomponentsobuf_lvcmos25_f_6|unisim|vcomponentsobuf_lvcmos25_f_8|unisim|vcomponentsobuf_lvcmos25_s_12|unisim|vcomponentsobuf_lvcmos25_s_16|unisim|vcomponentsobuf_lvcmos25_s_24|unisim|vcomponentsobuf_lvcmos25_s_2|unisim|vcomponentsobuf_lvcmos25_s_4|unisim|vcomponentsobuf_lvcmos25_s_6|unisim|vcomponentsobuf_lvcmos25_s_8|unisim|vcomponentsobuf_lvcmos25|unisim|vcomponentsobuf_lvcmos2|unisim|vcomponentsobuf_lvcmos33_f_12|unisim|vcomponentsobuf_lvcmos33_f_16|unisim|vcomponentsobuf_lvcmos33_f_24|unisim|vcomponentsobuf_lvcmos33_f_2|unisim|vcomponentsobuf_lvcmos33_f_4|unisim|vcomponentsobuf_lvcmos33_f_6|unisim|vcomponentsobuf_lvcmos33_f_8|unisim|vcomponentsobuf_lvcmos33_s_12|unisim|vcomponentsobuf_lvcmos33_s_16|unisim|vcomponentsobuf_lvcmos33_s_24|unisim|vcomponentsobuf_lvcmos33_s_2|unisim|vcomponentsobuf_lvcmos33_s_4|unisim|vcomponentsobuf_lvcmos33_s_6|unisim|vcomponentsobuf_lvcmos33_s_8|unisim|vcomponentsobuf_lvcmos33|unisim|vcomponentsobuf_lvdci_15|unisim|vcomponentsobuf_lvdci_18|unisim|vcomponentsobuf_lvdci_25|unisim|vcomponentsobuf_lvdci_33|unisim|vcomponentsobuf_lvdci_dv2_15|unisim|vcomponentsobuf_lvdci_dv2_18|unisim|vcomponentsobuf_lvdci_dv2_25|unisim|vcomponentsobuf_lvdci_dv2_33|unisim|vcomponentsobuf_lvds|unisim|vcomponentsobuf_lvpecl|unisim|vcomponentsobuf_lvttl_f_12|unisim|vcomponentsobuf_lvttl_f_16|unisim|vcomponentsobuf_lvttl_f_24|unisim|vcomponentsobuf_lvttl_f_2|unisim|vcomponentsobuf_lvttl_f_4|unisim|vcomponentsobuf_lvttl_f_6|unisim|vcomponentsobuf_lvttl_f_8|unisim|vcomponentsobuf_lvttl_s_12|unisim|vcomponentsobuf_lvttl_s_16|unisim|vcomponentsobuf_lvttl_s_24|unisim|vcomponentsobuf_lvttl_s_2|unisim|vcomponentsobuf_lvttl_s_4|unisim|vcomponentsobuf_lvttl_s_6|unisim|vcomponentsobuf_lvttl_s_8|unisim|vcomponentsobuf_lvttl|unisim|vcomponentsobuf_pci33_3|unisim|vcomponentsobuf_pci33_5|unisim|vcomponentsobuf_pci66_3|unisim|vcomponentsobuf_pcix66_3|unisim|vcomponentsobuf_pcix|unisim|vcomponentsobuf_s_12|unisim|vcomponentsobuf_s_16|unisim|vcomponentsobuf_s_24|unisim|vcomponentsobuf_s_2|unisim|vcomponentsobuf_s_4|unisim|vcomponentsobuf_s_6|unisim|vcomponentsobuf_s_8|unisim|vcomponentsobuf_sstl18_i_dci|unisim|vcomponentsobuf_sstl18_ii_dci|unisim|vcomponentsobuf_sstl18_ii|unisim|vcomponentsobuf_sstl18_i|unisim|vcomponentsobuf_sstl2_i_dci|unisim|vcomponentsobuf_sstl2_ii_dci|unisim|vcomponentsobuf_sstl2_ii|unisim|vcomponentsobuf_sstl2_i|unisim|vcomponentsobuf_sstl3_i_dci|unisim|vcomponentsobuf_sstl3_ii_dci|unisim|vcomponentsobuf_sstl3_ii|unisim|vcomponentsobuf_sstl3_i|unisim|vcomponentsobufds_blvds_25|unisim|vcomponentsobufds_ldt_25|unisim|vcomponentsobufds_lvds_25|unisim|vcomponentsobufds_lvds_33|unisim|vcomponentsobufds_lvdsext_25|unisim|vcomponentsobufds_lvdsext_33|unisim|vcomponentsobufds_lvpecl_25|unisim|vcomponentsobufds_lvpecl_33|unisim|vcomponentsobufds_ulvds_25|unisim|vcomponentsobufds|unisim|vcomponentsobufe|unisim|vcomponentsobuft_agp|unisim|vcomponentsobuft_ctt|unisim|vcomponentsobuft_f_12|unisim|vcomponentsobuft_f_16|unisim|vcomponentsobuft_f_24|unisim|vcomponentsobuft_f_2|unisim|vcomponentsobuft_f_4|unisim|vcomponentsobuft_f_6|unisim|vcomponentsobuft_f_8|unisim|vcomponentsobuft_gtl_dci|unisim|vcomponentsobuft_gtlp_dci|unisim|vcomponentsobuft_gtlp|unisim|vcomponentsobuft_gtl|unisim|vcomponentsobuft_hstl_i_18|unisim|vcomponentsobuft_hstl_i_dci_18|unisim|vcomponentsobuft_hstl_i_dci|unisim|vcomponentsobuft_hstl_ii_18|unisim|vcomponentsobuft_hstl_ii_dci_18|unisim|vcomponentsobuft_hstl_ii_dci|unisim|vcomponentsobuft_hstl_iii_18|unisim|vcomponentsobuft_hstl_iii_dci_18|unisim|vcomponentsobuft_hstl_iii_dci|unisim|vcomponentsobuft_hstl_iii|unisim|vcomponentsobuft_hstl_ii|unisim|vcomponentsobuft_hstl_iv_18|unisim|vcomponentsobuft_hstl_iv_dci_18|unisim|vcomponentsobuft_hstl_iv_dci|unisim|vcomponentsobuft_hstl_iv|unisim|vcomponentsobuft_hstl_i|unisim|vcomponentsobuft_lvcmos12_f_2|unisim|vcomponentsobuft_lvcmos12_f_4|unisim|vcomponentsobuft_lvcmos12_f_6|unisim|vcomponentsobuft_lvcmos12_f_8|unisim|vcomponentsobuft_lvcmos12_s_2|unisim|vcomponentsobuft_lvcmos12_s_4|unisim|vcomponentsobuft_lvcmos12_s_6|unisim|vcomponentsobuft_lvcmos12_s_8|unisim|vcomponentsobuft_lvcmos12|unisim|vcomponentsobuft_lvcmos15_f_12|unisim|vcomponentsobuft_lvcmos15_f_16|unisim|vcomponentsobuft_lvcmos15_f_2|unisim|vcomponentsobuft_lvcmos15_f_4|unisim|vcomponentsobuft_lvcmos15_f_6|unisim|vcomponentsobuft_lvcmos15_f_8|unisim|vcomponentsobuft_lvcmos15_s_12|unisim|vcomponentsobuft_lvcmos15_s_16|unisim|vcomponentsobuft_lvcmos15_s_2|unisim|vcomponentsobuft_lvcmos15_s_4|unisim|vcomponentsobuft_lvcmos15_s_6|unisim|vcomponentsobuft_lvcmos15_s_8|unisim|vcomponentsobuft_lvcmos15|unisim|vcomponentsobuft_lvcmos18_f_12|unisim|vcomponentsobuft_lvcmos18_f_16|unisim|vcomponentsobuft_lvcmos18_f_2|unisim|vcomponentsobuft_lvcmos18_f_4|unisim|vcomponentsobuft_lvcmos18_f_6|unisim|vcomponentsobuft_lvcmos18_f_8|unisim|vcomponentsobuft_lvcmos18_s_12|unisim|vcomponentsobuft_lvcmos18_s_16|unisim|vcomponentsobuft_lvcmos18_s_2|unisim|vcomponentsobuft_lvcmos18_s_4|unisim|vcomponentsobuft_lvcmos18_s_6|unisim|vcomponentsobuft_lvcmos18_s_8|unisim|vcomponentsobuft_lvcmos18|unisim|vcomponentsobuft_lvcmos25_f_12|unisim|vcomponentsobuft_lvcmos25_f_16|unisim|vcomponentsobuft_lvcmos25_f_24|unisim|vcomponentsobuft_lvcmos25_f_2|unisim|vcomponentsobuft_lvcmos25_f_4|unisim|vcomponentsobuft_lvcmos25_f_6|unisim|vcomponentsobuft_lvcmos25_f_8|unisim|vcomponentsobuft_lvcmos25_s_12|unisim|vcomponentsobuft_lvcmos25_s_16|unisim|vcomponentsobuft_lvcmos25_s_24|unisim|vcomponentsobuft_lvcmos25_s_2|unisim|vcomponentsobuft_lvcmos25_s_4|unisim|vcomponentsobuft_lvcmos25_s_6|unisim|vcomponentsobuft_lvcmos25_s_8|unisim|vcomponentsobuft_lvcmos25|unisim|vcomponentsobuft_lvcmos2|unisim|vcomponentsobuft_lvcmos33_f_12|unisim|vcomponentsobuft_lvcmos33_f_16|unisim|vcomponentsobuft_lvcmos33_f_24|unisim|vcomponentsobuft_lvcmos33_f_2|unisim|vcomponentsobuft_lvcmos33_f_4|unisim|vcomponentsobuft_lvcmos33_f_6|unisim|vcomponentsobuft_lvcmos33_f_8|unisim|vcomponentsobuft_lvcmos33_s_12|unisim|vcomponentsobuft_lvcmos33_s_16|unisim|vcomponentsobuft_lvcmos33_s_24|unisim|vcomponentsobuft_lvcmos33_s_2|unisim|vcomponentsobuft_lvcmos33_s_4|unisim|vcomponentsobuft_lvcmos33_s_6|unisim|vcomponentsobuft_lvcmos33_s_8|unisim|vcomponentsobuft_lvcmos33|unisim|vcomponentsobuft_lvdci_15|unisim|vcomponentsobuft_lvdci_18|unisim|vcomponentsobuft_lvdci_25|unisim|vcomponentsobuft_lvdci_33|unisim|vcomponentsobuft_lvdci_dv2_15|unisim|vcomponentsobuft_lvdci_dv2_18|unisim|vcomponentsobuft_lvdci_dv2_25|unisim|vcomponentsobuft_lvdci_dv2_33|unisim|vcomponentsobuft_lvds|unisim|vcomponentsobuft_lvpecl|unisim|vcomponentsobuft_lvttl_f_12|unisim|vcomponentsobuft_lvttl_f_16|unisim|vcomponentsobuft_lvttl_f_24|unisim|vcomponentsobuft_lvttl_f_2|unisim|vcomponentsobuft_lvttl_f_4|unisim|vcomponentsobuft_lvttl_f_6|unisim|vcomponentsobuft_lvttl_f_8|unisim|vcomponentsobuft_lvttl_s_12|unisim|vcomponentsobuft_lvttl_s_16|unisim|vcomponentsobuft_lvttl_s_24|unisim|vcomponentsobuft_lvttl_s_2|unisim|vcomponentsobuft_lvttl_s_4|unisim|vcomponentsobuft_lvttl_s_6|unisim|vcomponentsobuft_lvttl_s_8|unisim|vcomponentsobuft_lvttl|unisim|vcomponentsobuft_pci33_3|unisim|vcomponentsobuft_pci33_5|unisim|vcomponentsobuft_pci66_3|unisim|vcomponentsobuft_pcix66_3|unisim|vcomponentsobuft_pcix|unisim|vcomponentsobuft_s_12|unisim|vcomponentsobuft_s_16|unisim|vcomponentsobuft_s_24|unisim|vcomponentsobuft_s_2|unisim|vcomponentsobuft_s_4|unisim|vcomponentsobuft_s_6|unisim|vcomponentsobuft_s_8|unisim|vcomponentsobuft_sstl18_i_dci|unisim|vcomponentsobuft_sstl18_ii_dci|unisim|vcomponentsobuft_sstl18_ii|unisim|vcomponentsobuft_sstl18_i|unisim|vcomponentsobuft_sstl2_i_dci|unisim|vcomponentsobuft_sstl2_ii_dci|unisim|vcomponentsobuft_sstl2_ii|unisim|vcomponentsobuft_sstl2_i|unisim|vcomponentsobuft_sstl3_i_dci|unisim|vcomponentsobuft_sstl3_ii_dci|unisim|vcomponentsobuft_sstl3_ii|unisim|vcomponentsobuft_sstl3_i|unisim|vcomponentsobuftds_blvds_25|unisim|vcomponentsobuftds_ldt_25|unisim|vcomponentsobuftds_lvds_25|unisim|vcomponentsobuftds_lvds_33|unisim|vcomponentsobuftds_lvdsext_25|unisim|vcomponentsobuftds_lvdsext_33|unisim|vcomponentsobuftds_lvpecl_25|unisim|vcomponentsobuftds_lvpecl_33|unisim|vcomponentsobuftds_ulvds_25|unisim|vcomponentsobuftds|unisim|vcomponentsobuft|unisim|vcomponentsobuf|unisim|vcomponentsoddr2|unisim|vcomponentsoddr|unisim|vcomponentsofddrcpe|unisim|vcomponentsofddrrse|unisim|vcomponentsofddrtcpe|unisim|vcomponentsofddrtrse|unisim|vcomponentsopt_off|unisim|vcomponentsopt_uim|unisim|vcomponentsor2b1|unisim|vcomponentsor2b2|unisim|vcomponentsor2|unisim|vcomponentsor3b1|unisim|vcomponentsor3b2|unisim|vcomponentsor3b3|unisim|vcomponentsor3|unisim|vcomponentsor4b1|unisim|vcomponentsor4b2|unisim|vcomponentsor4b3|unisim|vcomponentsor4b4|unisim|vcomponentsor4|unisim|vcomponentsor5b1|unisim|vcomponentsor5b2|unisim|vcomponentsor5b3|unisim|vcomponentsor5b4|unisim|vcomponentsor5b5|unisim|vcomponentsor5|unisim|vcomponentsor6|unisim|vcomponentsor7|unisim|vcomponentsor8|unisim|vcomponentsorcy|unisim|vcomponentsoserdes|unisim|vcomponentspcie_ep|unisim|vcomponentspcie_internal_1_1|unisim|vcomponentspll_adv|unisim|vcomponentspll_base|unisim|vcomponentspmcd|unisim|vcomponentsppc405_adv|unisim|vcomponentsppc405|unisim|vcomponentspulldown|unisim|vcomponentspullup|unisim|vcomponentsram128x1d|unisim|vcomponentsram128x1s_1|unisim|vcomponentsram128x1s|unisim|vcomponentsram16x1d_1|unisim|vcomponentsram16x1d|unisim|vcomponentsram16x1s_1|unisim|vcomponentsram16x1s|unisim|vcomponentsram16x2s|unisim|vcomponentsram16x4s|unisim|vcomponentsram16x8s|unisim|vcomponentsram256x1s|unisim|vcomponentsram32m|unisim|vcomponentsram32x1d_1|unisim|vcomponentsram32x1d|unisim|vcomponentsram32x1s_1|unisim|vcomponentsram32x1s|unisim|vcomponentsram32x2s|unisim|vcomponentsram32x4s|unisim|vcomponentsram32x8s|unisim|vcomponentsram64m|unisim|vcomponentsram64x1d_1|unisim|vcomponentsram64x1d|unisim|vcomponentsram64x1s_1|unisim|vcomponentsram64x1s|unisim|vcomponentsram64x2s|unisim|vcomponentsramb16_s18_s18|unisim|vcomponentsramb16_s18_s36|unisim|vcomponentsramb16_s18|unisim|vcomponentsramb16_s1_s18|unisim|vcomponentsramb16_s1_s1|unisim|vcomponentsramb16_s1_s2|unisim|vcomponentsramb16_s1_s36|unisim|vcomponentsramb16_s1_s4|unisim|vcomponentsramb16_s1_s9|unisim|vcomponentsramb16_s1|unisim|vcomponentsramb16_s2_s18|unisim|vcomponentsramb16_s2_s2|unisim|vcomponentsramb16_s2_s36|unisim|vcomponentsramb16_s2_s4|unisim|vcomponentsramb16_s2_s9|unisim|vcomponentsramb16_s2|unisim|vcomponentsramb16_s36_s36|unisim|vcomponentsramb16_s36|unisim|vcomponentsramb16_s4_s18|unisim|vcomponentsramb16_s4_s36|unisim|vcomponentsramb16_s4_s4|unisim|vcomponentsramb16_s4_s9|unisim|vcomponentsramb16_s4|unisim|vcomponentsramb16_s9_s18|unisim|vcomponentsramb16_s9_s36|unisim|vcomponentsramb16_s9_s9|unisim|vcomponentsramb16_s9|unisim|vcomponentsramb16bwe_s18_s18|unisim|vcomponentsramb16bwe_s18_s9|unisim|vcomponentsramb16bwe_s18|unisim|vcomponentsramb16bwe_s36_s18|unisim|vcomponentsramb16bwe_s36_s36|unisim|vcomponentsramb16bwe_s36_s9|unisim|vcomponentsramb16bwe_s36|unisim|vcomponentsramb16bwer|unisim|vcomponentsramb16bwe|unisim|vcomponentsramb16|unisim|vcomponentsramb18sdp|unisim|vcomponentsramb18|unisim|vcomponentsramb32_s64_ecc|unisim|vcomponentsramb36_exp|unisim|vcomponentsramb36sdp_exp|unisim|vcomponentsramb36sdp|unisim|vcomponentsramb36|unisim|vcomponentsramb4_s16_s16|unisim|vcomponentsramb4_s16|unisim|vcomponentsramb4_s1_s16|unisim|vcomponentsramb4_s1_s1|unisim|vcomponentsramb4_s1_s2|unisim|vcomponentsramb4_s1_s4|unisim|vcomponentsramb4_s1_s8|unisim|vcomponentsramb4_s1|unisim|vcomponentsramb4_s2_s16|unisim|vcomponentsramb4_s2_s2|unisim|vcomponentsramb4_s2_s4|unisim|vcomponentsramb4_s2_s8|unisim|vcomponentsramb4_s2|unisim|vcomponentsramb4_s4_s16|unisim|vcomponentsramb4_s4_s4|unisim|vcomponentsramb4_s4_s8|unisim|vcomponentsramb4_s4|unisim|vcomponentsramb4_s8_s16|unisim|vcomponentsramb4_s8_s8|unisim|vcomponentsramb4_s8|unisim|vcomponentsrocbuf|unisim|vcomponentsroc|unisim|vcomponentsrom128x1|unisim|vcomponentsrom16x1|unisim|vcomponentsrom256x1|unisim|vcomponentsrom32x1|unisim|vcomponentsrom64x1|unisim|vcomponentsspi_access|unisim|vcomponentssrl16_1|unisim|vcomponentssrl16e_1|unisim|vcomponentssrl16e|unisim|vcomponentssrl16|unisim|vcomponentssrlc16_1|unisim|vcomponentssrlc16e_1|unisim|vcomponentssrlc16e|unisim|vcomponentssrlc16|unisim|vcomponentssrlc32e|unisim|vcomponentsstartbuf_fpgacore|unisim|vcomponentsstartbuf_spartan2|unisim|vcomponentsstartbuf_spartan3|unisim|vcomponentsstartbuf_virtex2|unisim|vcomponentsstartbuf_virtex4|unisim|vcomponentsstartbuf_virtex|unisim|vcomponentsstartup_fpgacore|unisim|vcomponentsstartup_spartan2|unisim|vcomponentsstartup_spartan3a|unisim|vcomponentsstartup_spartan3e|unisim|vcomponentsstartup_spartan3|unisim|vcomponentsstartup_virtex2|unisim|vcomponentsstartup_virtex4|unisim|vcomponentsstartup_virtex5|unisim|vcomponentsstartup_virtex|unisim|vcomponentssysmon|unisim|vcomponentstblock|unisim|vcomponentstemac|unisim|vcomponentstimegrp|unisim|vcomponentstimespec|unisim|vcomponentstocbuf|unisim|vcomponentstoc|unisim|vcomponentsusr_access_virtex4|unisim|vcomponentsusr_access_virtex5|unisim|vcomponentsvcc|unisim|vcomponentswireand|unisim|vcomponentsx_and16|simprim|vcomponentsx_and2|simprim|vcomponentsx_and32|simprim|vcomponentsx_and3|simprim|vcomponentsx_and4|simprim|vcomponentsx_and5|simprim|vcomponentsx_and6|simprim|vcomponentsx_and7|simprim|vcomponentsx_and8|simprim|vcomponentsx_and9|simprim|vcomponentsx_bpad|simprim|vcomponentsx_bscan_fpgacore|simprim|vcomponentsx_bscan_spartan2|simprim|vcomponentsx_bscan_spartan3a|simprim|vcomponentsx_bscan_spartan3|simprim|vcomponentsx_bscan_virtex2|simprim|vcomponentsx_bscan_virtex4|simprim|vcomponentsx_bscan_virtex5|simprim|vcomponentsx_bscan_virtex|simprim|vcomponentsx_bufgctrl|simprim|vcomponentsx_bufgmux_1|simprim|vcomponentsx_bufgmux|simprim|vcomponentsx_bufr|simprim|vcomponentsx_buf|simprim|vcomponentsx_carry4|simprim|vcomponentsx_ckbuf|simprim|vcomponentsx_clk_div|simprim|vcomponentsx_clkdlle|simprim|vcomponentsx_clkdll|simprim|vcomponentsx_crc32|simprim|vcomponentsx_crc64|simprim|vcomponentsx_dcm_adv|simprim|vcomponentsx_dcm_sp|simprim|vcomponentsx_dcm|simprim|vcomponentsx_dna_port|simprim|vcomponentsx_dsp48a|simprim|vcomponentsx_dsp48e|simprim|vcomponentsx_dsp48|simprim|vcomponentsx_emac|simprim|vcomponentsx_fddrcpe|simprim|vcomponentsx_fddrrse|simprim|vcomponentsx_fdd|simprim|vcomponentsx_ff_cpld|simprim|vcomponentsx_ff|simprim|vcomponentsx_fifo16|simprim|vcomponentsx_fifo18_36|simprim|vcomponentsx_fifo18|simprim|vcomponentsx_fifo36_72_exp|simprim|vcomponentsx_fifo36_exp|simprim|vcomponentsx_gt10|simprim|vcomponentsx_gt11clk|simprim|vcomponentsx_gt11|simprim|vcomponentsx_gtp_dual|simprim|vcomponentsx_gt|simprim|vcomponentsx_ibuf_dly_adj|simprim|vcomponentsx_ibufds_dly_adj|simprim|vcomponentsx_ibufds|simprim|vcomponentsx_iddr2|simprim|vcomponentsx_iddr_2clk|simprim|vcomponentsx_iddr|simprim|vcomponentsx_idelayctrl|simprim|vcomponentsx_idelay|simprim|vcomponentsx_inv|simprim|vcomponentsx_iodelay|simprim|vcomponentsx_ipad|simprim|vcomponentsx_iserdes_nodelay|simprim|vcomponentsx_iserdes|simprim|vcomponentsx_keeper|simprim|vcomponentsx_latch_cpld|simprim|vcomponentsx_latche|simprim|vcomponentsx_latch|simprim|vcomponentsx_lut2|simprim|vcomponentsx_lut3|simprim|vcomponentsx_lut4|simprim|vcomponentsx_lut5|simprim|vcomponentsx_lut6_2|simprim|vcomponentsx_lut6|simprim|vcomponentsx_lut7|simprim|vcomponentsx_lut8|simprim|vcomponentsx_mult18x18sio|simprim|vcomponentsx_mult18x18s|simprim|vcomponentsx_mult18x18|simprim|vcomponentsx_mux2|simprim|vcomponentsx_muxddr|simprim|vcomponentsx_obufds|simprim|vcomponentsx_obuftds|simprim|vcomponentsx_obuft|simprim|vcomponentsx_obuf|simprim|vcomponentsx_oddr2|simprim|vcomponentsx_oddr|simprim|vcomponentsx_one|simprim|vcomponentsx_opad|simprim|vcomponentsx_or16|simprim|vcomponentsx_or2|simprim|vcomponentsx_or32|simprim|vcomponentsx_or3|simprim|vcomponentsx_or4|simprim|vcomponentsx_or5|simprim|vcomponentsx_or6|simprim|vcomponentsx_or7|simprim|vcomponentsx_or8|simprim|vcomponentsx_or9|simprim|vcomponentsx_oserdes|simprim|vcomponentsx_pcie_internal_1_1|simprim|vcomponentsx_pd|simprim|vcomponentsx_pll_adv|simprim|vcomponentsx_pmcd|simprim|vcomponentsx_ppc405_adv|simprim|vcomponentsx_ppc405|simprim|vcomponentsx_pu|simprim|vcomponentsx_ram32m|simprim|vcomponentsx_ram64m|simprim|vcomponentsx_ramb16_s18_s18|simprim|vcomponentsx_ramb16_s18_s36|simprim|vcomponentsx_ramb16_s18|simprim|vcomponentsx_ramb16_s1_s18|simprim|vcomponentsx_ramb16_s1_s1|simprim|vcomponentsx_ramb16_s1_s2|simprim|vcomponentsx_ramb16_s1_s36|simprim|vcomponentsx_ramb16_s1_s4|simprim|vcomponentsx_ramb16_s1_s9|simprim|vcomponentsx_ramb16_s1|simprim|vcomponentsx_ramb16_s2_s18|simprim|vcomponentsx_ramb16_s2_s2|simprim|vcomponentsx_ramb16_s2_s36|simprim|vcomponentsx_ramb16_s2_s4|simprim|vcomponentsx_ramb16_s2_s9|simprim|vcomponentsx_ramb16_s2|simprim|vcomponentsx_ramb16_s36_s36|simprim|vcomponentsx_ramb16_s36|simprim|vcomponentsx_ramb16_s4_s18|simprim|vcomponentsx_ramb16_s4_s36|simprim|vcomponentsx_ramb16_s4_s4|simprim|vcomponentsx_ramb16_s4_s9|simprim|vcomponentsx_ramb16_s4|simprim|vcomponentsx_ramb16_s9_s18|simprim|vcomponentsx_ramb16_s9_s36|simprim|vcomponentsx_ramb16_s9_s9|simprim|vcomponentsx_ramb16_s9|simprim|vcomponentsx_ramb16bwer|simprim|vcomponentsx_ramb16bwe|simprim|vcomponentsx_ramb16|simprim|vcomponentsx_ramb18sdp|simprim|vcomponentsx_ramb18|simprim|vcomponentsx_ramb36_exp|simprim|vcomponentsx_ramb36sdp_exp|simprim|vcomponentsx_ramb4_s16_s16|simprim|vcomponentsx_ramb4_s16|simprim|vcomponentsx_ramb4_s1_s16|simprim|vcomponentsx_ramb4_s1_s1|simprim|vcomponentsx_ramb4_s1_s2|simprim|vcomponentsx_ramb4_s1_s4|simprim|vcomponentsx_ramb4_s1_s8|simprim|vcomponentsx_ramb4_s1|simprim|vcomponentsx_ramb4_s2_s16|simprim|vcomponentsx_ramb4_s2_s2|simprim|vcomponentsx_ramb4_s2_s4|simprim|vcomponentsx_ramb4_s2_s8|simprim|vcomponentsx_ramb4_s2|simprim|vcomponentsx_ramb4_s4_s16|simprim|vcomponentsx_ramb4_s4_s4|simprim|vcomponentsx_ramb4_s4_s8|simprim|vcomponentsx_ramb4_s4|simprim|vcomponentsx_ramb4_s8_s16|simprim|vcomponentsx_ramb4_s8_s8|simprim|vcomponentsx_ramb4_s8|simprim|vcomponentsx_ramd128|simprim|vcomponentsx_ramd16|simprim|vcomponentsx_ramd32|simprim|vcomponentsx_ramd64_adv|simprim|vcomponentsx_ramd64|simprim|vcomponentsx_rams128|simprim|vcomponentsx_rams16|simprim|vcomponentsx_rams256|simprim|vcomponentsx_rams32|simprim|vcomponentsx_rams64_adv|simprim|vcomponentsx_rams64|simprim|vcomponentsx_rocbuf|simprim|vcomponentsx_roc|simprim|vcomponentsx_sff|simprim|vcomponentsx_spi_access|simprim|vcomponentsx_srl16e|simprim|vcomponentsx_srlc16e|simprim|vcomponentsx_srlc32e|simprim|vcomponentsx_suh|simprim|vcomponentsx_sysmon|simprim|vcomponentsx_temac|simprim|vcomponentsx_tocbuf|simprim|vcomponentsx_toc|simprim|vcomponentsx_tri|simprim|vcomponentsx_upad|simprim|vcomponentsx_xor16|simprim|vcomponentsx_xor2|simprim|vcomponentsx_xor32|simprim|vcomponentsx_xor3|simprim|vcomponentsx_xor4|simprim|vcomponentsx_xor5|simprim|vcomponentsx_xor6|simprim|vcomponentsx_xor7|simprim|vcomponentsx_xor8|simprim|vcomponentsx_zero|simprim|vcomponentsxnor2|unisim|vcomponentsxnor3|unisim|vcomponentsxnor4|unisim|vcomponentsxnor5|unisim|vcomponentsxor2|unisim|vcomponentsxor3|unisim|vcomponentsxor4|unisim|vcomponentsxor5|unisim|vcomponentsxorcy_d|unisim|vcomponentsxorcy_l|unisim|vcomponentsxorcy|unisim|vcomponents****PROP_DevFamilyPMName=acr2********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=spartan3********PROP_Parse_Target=synthesis********PROP_Parse_Target=synthesis****PROP_Parse_TargetsynthesisPROP_DevFamilyPMNamespartan3PROP_xstVeriIncludeDir_GlobalPROP_DevFamilyAutomotive CoolRunner2Spartan3PROP_Dummydum1CoolRunner XPLA3 CPLDsXC9500XV CPLDsXC9500XL CPLDsXC9500 CPLDsCoolRunner2 CPLDsAutomotive 9500XLVirtexEVirtex5Virtex4Virtex2PVirtex2VirtexSpartan3ESpartan-3A DSPSpartan3A and Spartan3ANSpartan2ESpartan2QPro VirtexE MilitaryQPro Virtex Hi-RelQPro Virtex Rad-HardAutomotive Spartan3EAutomotive Spartan3Automotive Spartan2EPLUGIN_EdifPLUGIN_GeneralPLUGIN_NcdPLUGIN_VerilogPLUGIN_VhdllibHdlacr2|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/bitgen.xmsgs|PLUGIN_General|1204672765|FILE_XMSGS|Generic||bitgen.xmsgsbitgen.xmsgsDESUT_XMSGS|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.bgn|PLUGIN_General|1204672765|FILE_BITGEN_REPORT|Generic||lpc_7seg.bgnlpc_7seg.bgnDESUT_BITGEN_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.bit|PLUGIN_General|1204672765|FILE_BIT|Generic||lpc_7seg.bitlpc_7seg.bitDESUT_BIT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.drc|PLUGIN_General|1204672762|FILE_BITGEN_DRC|Generic||lpc_7seg.drclpc_7seg.drcDESUT_BITGEN_DRC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/trce.xmsgs|PLUGIN_General|1204672759||Generic||trce.xmsgstrce.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.twx|PLUGIN_General|1204672759|FILE_TIMING_XML_REPORT|Generic||lpc_7seg.twxlpc_7seg.twxDESUT_TIMING_XML_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.twr|PLUGIN_General|1204672759|FILE_TIMING_TXT_REPORT|Generic||lpc_7seg.twrlpc_7seg.twrDESUT_TIMING_TXT_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/par.xmsgs|PLUGIN_General|1204672756||Generic||par.xmsgspar.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_pad.csv|PLUGIN_General|1204672755|FILE_PAD_EXCEL_REPORT|Generic||lpc_7seg_pad.csvlpc_7seg_pad.csvDESUT_PAD_EXCEL_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_pad.txt|PLUGIN_General|1204672756|FILE_PAD_TXT_REPORT|Generic||lpc_7seg_pad.txtlpc_7seg_pad.txtDESUT_PAD_TXT_REPORTTBIND_viewPadRptsTRAN_viewPadRpts|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.xpi|PLUGIN_General|1204672756|FILE_XPI|Generic||lpc_7seg.xpilpc_7seg.xpiDESUT_XPI|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.unroutes|PLUGIN_General|1204672756|FILE_UNROUTES|Generic||lpc_7seg.unrouteslpc_7seg.unroutesDESUT_UNROUTES|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.par|PLUGIN_General|1204672756|FILE_PAR_REPORT|Generic||lpc_7seg.parlpc_7seg.parDESUT_PAR_REPORTTBIND_viewParRptsTRAN_viewParRpts|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.pad|PLUGIN_General|1204672755|FILE_PAD_MISC|Generic||lpc_7seg.padlpc_7seg.padDESUT_PAD_MISC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ncd|PLUGIN_NCD|1204672756|PLUGIN_NCDFILE_NCD|Module||lpc_7segDESUT_NCD|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_usage.xml|PLUGIN_General|1204672765|FILE_WEBTALK|Generic||lpc_7seg_usage.xmllpc_7seg_usage.xmlDESUT_GENERIC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/map.xmsgs|PLUGIN_General|1204672746||Generic||map.xmsgsmap.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_map.ngm|PLUGIN_NGM|1204672745|PLUGIN_NGMFILE_NGMDESUT_NGM3s400fg456-4|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.pcf|PLUGIN_General|1204672746|FILE_PCF|Generic||lpc_7seg.pcflpc_7seg.pcfDESUT_PCF|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_map.mrp|PLUGIN_General|1204672746|FILE_MAP_REPORT|Generic||lpc_7seg_map.mrplpc_7seg_map.mrpDESUT_MAP_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_map.ncd|PLUGIN_NCD|1204672746||File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/ngdbuild.xmsgs|PLUGIN_General|1204672742||Generic||ngdbuild.xmsgsngdbuild.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_ngo|PLUGIN_General|1204672739|FILE_DIRECTORY|Generic||_ngo_ngoDESUT_DIRECTORY|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_ngo/netlist.lst|PLUGIN_General|1204672742|FILE_LST|Generic||netlist.lstnetlist.lstDESUT_LST|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.bld|PLUGIN_General|1204672742|FILE_NGDBUILD_LOG|Generic||lpc_7seg.bldlpc_7seg.bldDESUT_NGDBUILD_LOG|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ngd|PLUGIN_NGD|1204672741|PLUGIN_NGDFILE_NGDDESUT_NGD|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/_xmsgs/xst.xmsgs|PLUGIN_General|1204672736||Generic||xst.xmsgsxst.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.cmd_log|PLUGIN_General|1204672761|FILE_CMD_LOG|Generic||lpc_7seg.cmd_loglpc_7seg.cmd_logDESUT_CMD_LOG|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/xst|PLUGIN_General|1204672726||Generic||xstxst|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ngr|PLUGIN_NGR|1204672730|PLUGIN_NGRFILE_NGRDESUT_NGR|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ngc|PLUGIN_NGC|1204672736|PLUGIN_NGCFILE_NGCDESUT_NGCxc3s400-4-fg456|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_vhdl.prj|PLUGIN_General|1204672726|FILE_XST_PROJECT|Generic||lpc_7seg_vhdl.prjlpc_7seg_vhdl.prjDESUT_XST_PROJECT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.prj|PLUGIN_General|1204672725||Generic||lpc_7seg.prjlpc_7seg.prj|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.syr|PLUGIN_General|1204672736|FILE_XST_REPORT|Generic||lpc_7seg.syrlpc_7seg.syrDESUT_XST_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.lso|PLUGIN_General|1204672726|FILE_LSO|Generic||lpc_7seg.lsolpc_7seg.lsoDESUT_LSO|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.xst|PLUGIN_General|1204672725|FILE_XST|Generic||lpc_7seg.xstlpc_7seg.xstDESUT_XST|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.stx|PLUGIN_General|1204672736|FILE_XST_STX|Generic||lpc_7seg.stxlpc_7seg.stxDESUT_XST_STX|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg_guide.ncd|PLUGIN_NCD|1204672756||File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/wb_7seg.vhd|PLUGIN_Vhdl|1204597328|FILE_VHDL|Architecture||wb_7seg_behav|wb_7seg|||ComponentInstantiation||wb_7seg|wb_7seg_behav|u1|disp_dec||Entity||wb_7seg|Library||||Use||IEEE|STD_LOGIC_1164|all||Use||IEEE|STD_LOGIC_ARITH|all||Use||IEEE|STD_LOGIC_UNSIGNED|all|wb_7seg_behavwb_7segDESUT_VHDL_ARCHITECTUREu1disp_decDESUT_VHDL_ENTITYIEEE.STD_LOGIC_UNSIGNED.allIEEESTD_LOGIC_UNSIGNEDallIEEE.STD_LOGIC_ARITH.allSTD_LOGIC_ARITHIEEE.STD_LOGIC_1164.allSTD_LOGIC_1164|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/disp_dec.vhd|PLUGIN_Vhdl|1141325921||Architecture||disp_dec_behave|disp_dec|||Entity||disp_decdisp_dec_behave|File||C:/hharte/work/HarteTec/cores/wb_lpc/rtl/verilog/wb_lpc_periph.v|PLUGIN_Verilog|1204671613|FILE_VERILOG|Module||wb_lpc_periphwb_lpc_periphDESUT_VERILOG|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ucf|PLUGIN_AssocModule|1204662943|PLUGIN_AssocModuleFILE_UCF|Module||lpc_7seg.ucflpc_7seg.ucfDESUT_UCF|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/top_lpc_7seg.v|PLUGIN_Verilog|1204671374||ComponentInstantiation||lpc_7seg|lpc_7seg|lpc_periph|wb_lpc_periph||ComponentInstantiation||lpc_7seg|lpc_7seg|seven_seg0|wb_7seg|seven_seg0lpc_periphAutoGeneratedViewVIEW_AssignPackagePinsTBIND_XSTAssignPackagePinsTRAN_assignPackagePinsVIEW_XSTPreSynthesisTBIND_EditConstraintsTextAppTRAN_editConstraintsVIEW_PreSynthEditConstraintsTBINDEXT_XSTPreSynthesisToStructural_spartan3TRAN_copyPreSynthesisToStructuralForBitgenTRANEXT_xstsynthesize_spartan3TRAN_copyPreSynthesisToStructuralForTranslateVIEW_StructuralTBIND_StructuralToPost-SynthesisAbstractSimulationTRAN_postSynthesisSimModelModule|lpc7segVIEW_Post-SynthesisAbstractSimulationTBINDEXT_StructuralToTranslation_FPGATRAN_copyStructuralToTranslationForBitgenTRAN_copyStructuralToTranslationForConstraintsTRANEXT_ngdbuild_FPGAVIEW_TranslationTBIND_xlateFloorPlannerTRAN_xlateFloorPlannerVIEW_Post-TranslateFloorPlannerTBIND_xlateAssignPackagePinsTRAN_xlateAssignPackagePinsVIEW_Post-TranslateAssignPinsTBIND_TranslationToPost-TranslateFormalityNetlistTRAN_postXlateFormalityNetlistVIEW_Post-TranslateFormalityNetlistTBIND_TranslationToPost-TranslateAbstractSimulationTRAN_postXlateSimModelVIEW_Post-TranslateAbstractSimulationTBIND_Post-TranslateAbstractToTBWPreSimulationTRAN_createPostXlateTestBenchTRAN_copyPost-TranslateAbstractToPreSimulationVIEW_TBWPost-TranslatePreSimulationTBIND_Post-TranslateAbstractToPreSimulationVIEW_Post-TranslatePreSimulationTBIND_NGCAssignPackagePinsTRAN_ngcAssignPackagePinsVIEW_ngcAssignPackagePinsTBIND_CreateTimingConstraintsTRAN_createTimingConstraintsVIEW_Post-TranslateTimingConstraintsTBIND_CreateAreaConstraintsTRAN_createAreaConstraintsVIEW_Post-TranslateAreaConstraintsTBINDEXT_TranslationToMap_spartan3TRAN_copyTranslationToMapForBitgenTRANEXT_map_spartan3VIEW_MapTBIND_preRouteTrceTRAN_preRouteTrceVIEW_Post-MapStaticTimingTBIND_mapFpgaEditorTRAN_mapFpgaEditorVIEW_Post-MapFpgaEditorTBIND_mapFloorPlannerTRAN_mapFloorPlannerVIEW_Post-MapFloorPlannerTBIND_MapToPost-MapAbstractSimulationTRAN_postMapSimModelVIEW_Post-MapAbstractSimulationTBIND_Post-MapAbstractToTBWPreSimulationTRAN_createPostMapTestBenchTRAN_copyPost-MapAbstractToPreSimulationVIEW_TBWPost-MapPreSimulationTBIND_Post-MapAbstractToPreSimulationVIEW_Post-MapPreSimulationTBINDEXT_MapToPar_spartan3TRAN_copyMapToParForBitgenTRANEXT_par_spartan3VIEW_ParTBIND_postRouteTrceTRAN_postRouteTrceVIEW_Post-ParStaticTimingTBIND_postParPrimetimeNetlistTRAN_postParPrimetimeNetlistVIEW_PrimetimeNetlistTBIND_parFpgaEditorTRAN_parFpgaEditorVIEW_Post-ParFpgaEditorTBIND_parFloorPlannerTRAN_parFloorPlannerVIEW_Post-ParFloorPlannerTBIND_genPowerDataTRAN_genPowerDataVIEW_FPGAGeneratePowerDataTBIND_createIBISModelTRAN_createIBISModelVIEW_IBISModelTBIND_XpowerTRAN_XPowerVIEW_FPGAAnalyzePowerTBIND_ParToPost-ParFormalityNetlistTRAN_postParFormalityNetlistVIEW_Post-ParFormalityNetlistTBIND_ParToPost-ParClockRegionTRAN_clkRegionRptVIEW_Post-ParClockRegionReportTBIND_ParToPost-ParAsyncDelayTRAN_asynDlyRptVIEW_Post-ParAsyncDelayReportTBIND_ParToPost-ParAbstractSimulationTRAN_postParSimModelVIEW_Post-ParAbstractSimulationTBIND_Post-ParAbstractToTBWPreSimulationTRAN_createPostParTestBenchTRAN_copyPost-ParAbstractToPreSimulationVIEW_TBWPost-ParPreSimulationTBIND_TBWPost-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuse(bencher)VIEW_TBWPost-ParFuseTBIND_TBWPost-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModel(bencher)VIEW_TBWPost-ParSimulationISimTBIND_Post-ParAbstractToPreSimulationVIEW_Post-ParPreSimulationTBIND_Post-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuseVIEW_Post-ParFuseTBIND_Post-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModelVIEW_Post-ParSimulationISimTBIND_ParToMpprResultTRAN_copyMpprRsltVIEW_MpprResultTBIND_ParToLockedPinConstraintsTRAN_genLockedPinConstraintsVIEW_LockedPinConstraintsTBIND_ParToBackAnnoPinLocationsTRAN_backAnnoPinLocationsVIEW_BackAnnoPinLocationsTBINDEXT_ParToFPGAConfiguration_spartan3TRANEXT_bitFile_spartan3VIEW_FPGAConfigurationTBIND_analyzeDesignUsingChipscopeTRAN_analyzeDesignUsingChipscopeVIEW_AnalyzedDesignTBIND_UpdateBitstreamXPSTRAN_xpsUpdBitstreamVIEW_UpdatedBitstreamTBIND_FPGAConfigurationToFPGAGeneratePROMTRAN_genImpactFileVIEW_FPGAGeneratePROMTBIND_FPGAConfigurationToFPGAConfigureDeviceTRAN_impactProgrammingToolVIEW_FPGAConfigureDeviceTBIND_XSTAbstractToPreSynthesisTRAN_copyAbstractToPreSynthesisForBitgenTRAN_copyAbstractToPreSynthesisForTranslateTRAN_convertToHdlTRAN_copyAbstractToPreSynthesisForSynthesisVIEW_XSTAbstractSynthesisTBIND_InitialToXSTAbstractSynthesisTRAN_copyInitialToXSTAbstractSynthesisVIEW_InitialTBIND_InitialToAbstractSimulationTRAN_copyInitialToAbstractSimulationVIEW_AbstractSimulationTBIND_AbstractToPostAbstractSimulationTRAN_copyAbstractToPostAbstractSimulationVIEW_PostAbstractSimulationTBIND_PostAbstractToTBWPreSimulationTRAN_viewBehavioralTestbenchTRAN_copyPostAbstractToPreSimulationVIEW_TBWPreSimulationTBIND_TBWPreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuse(bencher)VIEW_TBWBehavioralFuseTBIND_TBWBehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModel(bencher)VIEW_TBWBehavioralSimulationISimTBIND_PostAbstractToPreSimulationVIEW_PreSimulationTBIND_PreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuseVIEW_BehavioralFuseTBIND_BehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModelVIEW_BehavioralSimulationISimTBIND_PostAbstractToAnnotatedPreSimulationTRAN_viewBehavioralTestbenchForAnnoTRAN_copyPostAbstractToAnnotatedPreSimulationVIEW_AnnotatedPreSimulationTBIND_PreToGenerateAnnotatedResultsFuseTRAN_ISimGenerateAnnotatedResultsRunFuseTRAN_copyPreToGenerateAnnotatedResultsFuseForTBWVIEW_AnnotatedResultsFuseTBIND_FuseToAnnotatedResultsISimTRAN_ISimGenerateAnnotatedResultsTRAN_copyFuseToAnnotatedResultsISimForTBWVIEW_AnnotatedResultsISimTBIND_AnnotatedToGenerateExpectedSimulationResultsISimTRAN_ISimGenerateExpectedSimulationResultsVIEW_ExpectedSimulationResultsISimTBINDEXT_InitialToCommon_FPGATRANEXT_compLibraries_FPGAVIEW_CommonDESPF_TRADITIONALPROP_PreferredLanguageVerilogPROP_SimulatorModelsim-XE VHDLISE Simulator (VHDL/Verilog)Other MixedOther VerilogOther VHDLVCS-MXi MixedVCS-MXi VerilogVCS-MXi VHDLVCS-MX MixedVCS-MX VerilogVCS-MX VHDLNC-Sim MixedNC-Sim VerilogNC-Sim VHDLModelsim-XE VerilogModelsim-PE MixedModelsim-PE VerilogModelsim-PE VHDLModelsim-SE MixedModelsim-SE VerilogModelsim-SE VHDLPROP_Synthesis_ToolXST (VHDL/Verilog)PROP_Top_Level_Module_TypeHDLVHDLPROP_DevSpeed-5-4PROP_DevPackagefg320fg456PROP_DevDevicexc3s50xc3s400xc3s1500lxc3s1500xc3s1000lxc3s1000xc3s200tq144pq208ft256PROP_ParSmartGuideFileNamePROP_SmartGuidePROP_TopDesignUnitModule|lpc_7segNCD files (*.ncd)|*.ncdPROP_MapSmartGuideFileNamePROP_ISimSpecifyDefMacroAndValuePROP_ISimSpecifySearchDirectoryPROP_ISimSpecifySearchDirPROP_ISimValueRangeCheckPROP_ISimCompileForHdlDebugPROP_ISimIncreCompilationPROP_xstVeriIncludeDirPROP_tbwPostParTestbenchNamePROP_tbwTestbenchTargetLangPROP_tbwPostMapTestbenchNamePROP_tbwPostXlateTestbenchNamePROP_PostParSimModelName_timesim.vPROP_SimModelTargetlpc_7seg_timesim.vPROP_PostMapSimModelNamelpc_7seg_map.v_map.vPROP_PostXlateSimModelNamelpc_7seg_translate.v_translate.vPROP_SimModelRenTopLevEntToPROP_SimModelGenArchOnlyPROPEXT_xilxBitgCfg_DCIUpdateMode_spartan3As RequiredPROPEXT_xilxBitgCfg_Rate_spartan3Default (6)PROPEXT_xilxSynthAddBufg_spartan3PROPEXT_xilxSynthMaxFanout_virtex2PROPEXT_SynthMultStyle_virtex2AutoPROPEXT_xilxMapGenInputK_virtex24PROP_MapRegDuplicationPROP_xilxMapTimingDrivenPackingPROP_MapLogicOptimizationPROP_MapPlacerCostTablePROP_MapExtraEffortNonePROP_MapEffortLevelMediumHighStandardContinue on ImpossibleNormalPROP_xilxBitgStart_Clk_MatchCyclePROP_xilxBitgCfg_DCMShutdownPROP_xilxBitgCfg_GenOpt_EnableCRCPROP_xilxBitgCfg_GenOpt_IEEE1532FilePROP_xilxBitgCfg_GenOpt_CompressPROP_xilxBitgCfg_GenOpt_ASCIIFilePROP_xilxBitgCfg_GenOpt_BinaryFilePROP_xilxBitgCfg_GenOpt_BitFilePROP_xilxBitgCfg_GenOpt_DRCPROP_parMpprNodelistFilePROP_xilxPARstratNormal Place and RouteAll files (*)|*PROP_parMpprResultsDirectoryPROP_parMpprResultsToSavePROP_parMpprParIterationsPROP_mpprRsltToCopyPROP_mpprViewPadRptForSelRsltPROP_mpprViewPadRptsForAllRsltPROP_mpprViewParRptForSelRsltPROP_mpprViewParRptsForAllRsltPROP_par_otherCmdLineOptionsPROP_parPowerReductionPROP_parGenSimModelPROP_parGenTimingRptPROP_parGenClkRegionRptPROP_parGenAsyDlyRptPROP_xilxPARuseBondedIOPROP_parUseTimingConstraintsPROP_xilxPARplacerCostTablePROP_xilxPARextraEffortLevelPROP_xilxPARrouterEffortLevelPROP_xilxPARplacerEffortLevelPROP_xilxPAReffortLevelPROP_map_otherCmdLineOptionsPROP_xilxMapSliceLogicInUnusedBRAMsPROP_xilxMapPackfactorPROP_xilxMapDisableRegOrderingPROP_xilxMapPackRegIntoFor Inputs and OutputsPROP_mapUseRLOCConstraintsPROP_xilxMapReportDetailPROP_xilxMapCoverModeAreaPROP_xilxMapAllowLogicOptPROP_xilxMapReplicateLogicPROP_xilxMapTrimUnconnSigPROP_xilxNgdbldPresHierarchyPROP_xilxNgdbldURPROP_xilxNgdbldUnexpBlksPROP_xilxNgdbldIOPadsPROP_xilxNgdbldNTTypeTimestampPROP_ngdbuildUseLOCConstraintsPROP_xilxBitgCfg_GenOpt_IEEE1532File_xbrPROP_UseDataGatePROP_xcpldFitDesVoltLVCMOS18PROP_xcpldFitDesTriModeKeeperPROP_xcpldFitDesUnusedPROP_xcpldFitDesInputLmt_xbrPROP_xcpldFitDesInReg_xbrPROP_xcpldFitTemplate_xpla3Optimize DensityPROP_xcpldFitDesPtermLmt_xbrPROP_FunctionBlockInputLimitPROP_FitterOptimization_xpla3DensitySpeedPROP_xstUseSyncResetYesPROP_xstUseSyncSetPROP_xstUseClockEnablePROP_xilxSynthRegDuplicationPROP_xstOptimizeInsPrimtivesPROP_xstSlicePackingPROP_xstPackIORegisterPROP_xstMoveLastFfStagePROP_xilxSynthRegBalancingNoPROP_xstMoveFirstFfStagePROP_SynthLogicalShifterExtractPROP_SynthShiftRegExtractPROP_SynthEncoderExtractPROP_SynthDecoderExtractPROP_SynthMuxStylePROP_SynthExtractMuxMUXCYMUXFPROP_xstROMStylePROP_SynthExtractROMBlockDistributedPROP_SynthRAMStylePROP_SynthExtractRAMPROP_xstFsmStyleLUTPROP_xstCrossClockAnalysisPROP_xstSliceUtilRatioPROP_xstWriteTimingConstraintsPROP_xstCoresSearchDirPROP_xstReadCoresPROP_xstAsynToSyncPROP_xstBRAMUtilRatioPROP_xstAutoBRAMPackingPROP_xilxSynthGlobOptAllClockNetsPROP_CompxlibXlnxCoreLibPROP_impactConfigFileNamePROP_impactConfigModePROP_ImpactProjectFileDesktop ConfigurationSelect MAPSlave SerialBoundary ScanISC files (*.isc)|*.iscCMD files (*.cmd)|*.cmdHEX files (*.hex)|*.hexMCS files (*.mcs)|*.mcsEXO files (*.exo)|*.exoCDF files (*.cdf)|*.cdfBIT files (*.bit)|*.bitPROP_AceActiveNamePROP_AutoGenFilePROP_primeTopLevelModulePROP_primeCorrelateOutputPROP_primeFlatternOutputNetlistPROP_primetimeBlockRamDataPROP_xilxPostTrceTSIFilePROP_xilxPostTrceStampPROP_PostTrceFastPathPROP_xilxPostTrceUncovPathPROP_xilxPostTrceSpeedAbsolute MinPROP_xilxPostTrceAdvAnaPROP_xilxPostTrceRptLimitPROP_xilxPostTrceRptError ReportPROP_PreTrceFastPathPROP_xilxPreTrceUncovPathPROP_xilxPreTrceSpeedPROP_xilxPreTrceAdvAnaPROP_xilxPreTrceRptLimitPROP_xilxPreTrceRptPROP_CurrentFloorplanFilePROP_xilxBitgCfg_GenOpt_MaskFilePROP_xilxBitgCfg_GenOpt_ReadBackPROP_xilxBitgCfg_GenOpt_LogicAllocFilePROP_xilxBitgReadBk_GenBitStrPROP_xilxBitgReadBk_SecEnable Readback and ReconfigurationPROP_xilxBitgStart_Clk_DriveDonePROP_xilxBitgStart_Clk_RelDLLDefault (NoWait)PROP_xilxBitgStart_Clk_WrtEnPROP_xilxBitgStart_Clk_EnOutDefault (5)PROP_xilxBitgStart_Clk_DoneDefault (4)PROP_xilxBitgStart_IntDonePROP_xilxBitgStart_ClkCCLKPROP_xilxBitgCfg_Code0xFFFFFFFFPROP_xilxBitgCfg_UnusedPull DownPROP_xilxBitgCfg_TMSPull UpPROP_xilxBitgCfg_TDOPROP_xilxBitgCfg_TDIPROP_xilxBitgCfg_TCKPROP_xilxBitgCfg_DonePROP_xilxBitgCfg_PgmPROP_xilxBitgCfg_M2PROP_xilxBitgCfg_M1PROP_xilxBitgCfg_M0PROP_xilxBitgCfg_ClkPROP_bitgen_otherCmdLineOptionsPROP_xilxBitgCfg_GenOpt_DbgBitStrPROP_CompxlibCPLDDetLibPROP_CompxlibAbelLibPROP_CompxlibUni9000LibPROP_CompxlibLangAllPROP_PlsClockEnablePROP_xilxSynthKeepHierarchy_CPLDPROP_xilxSynthXORPreservePROP_xilxSynthMacroPreservePROP_taengine_otherCmdLineOptionsPROP_xcpldFittimRptOptionSummaryPROP_impactConfigFileName_CPLDPROP_hprep6_otherCmdLineOptionsPROP_hprep6_autosigPROP_xcpldUseGlobalSetResetPROP_xcpldUseGlobalOutputEnablesPROP_xcpldUseGlobalClocksPROP_xcpldFitDesSlewFastPROP_cpldfitHDLeqStyleSourcePROP_fitGenSimModelPROP_cpldfit_otherCmdLineOptionsPROP_xcpldFitDesMultiLogicOptPROP_cpldBestFitPROP_CPLDFitkeepioPROP_xcpldFitDesTimingCstPROP_xcpldFitDesInitLowPROP_xcpldUseLocConstAlwaysPROP_EnableWYSIWYGPROP_MapPowerReductionPROP_Enable_Incremental_MessagingPROP_Enable_Message_FilteringPROP_Enable_Message_CapturePROP_FitterReportFormatHTMLPROP_FlowDebugLevelPROP_UserConstraintEditorPreferenceConstraints EditorPROP_UserEditorCustomSettingPROP_UserEditorPreferenceISE Text EditorPROP_XplorerModeOffPROP_SimModelInsertBuffersPulseSwallowPROP_SimModelAutoInsertGlblModuleInNetlistPROP_SimModelGenMultiHierFilePROP_SimModelRetainHierarchyPROP_PostSynthSimModelNamelpc_7seg_synthesis.vPROP_SimModelIncUnisimInVerilogFilePROP_SimModelIncSimprimInVerilogFilePROP_xstSafeImplementPROP_SynthFsmEncodePROP_XPowerOtherXPowerOptsPROP_XPowerOptBaseTimeUnitpsPROP_XPowerOptUseTimeBasedPROP_XPowerOptLoadVCDFileDefaultusfsnsPROP_XPowerOptNumberOfUnitsPROP_XPowerOptInputTclScriptPROP_XPowerOptLoadPCFFilePROP_XPowerOptOutputFilePROP_XPowerOptLoadXMLFilePROP_XPowerOptMaxNumberLinesPROP_XPowerOptVerboseRptPROP_XPowerOptAdvancedVerboseRptPROP_xilxSynthKeepHierarchyPROP_xilxNgdbldMacroPROP_xilxNgdbld_AULPROP_SynthXORCollapsePROP_ngdbuild_otherCmdLineOptionsPROP_impactPortparport0 (LINUX)/dev/ttyb (UNIX)/dev/ttya (UNIX)USB 2 (PC)USB 1 (PC)USB 0 (PC)COM 3 (PC)COM 2 (PC)COM 1 (PC)LPT 3 (PC)LPT 2 (PC)LPT 1 (PC)LPT 0 (PC)PROP_impactBaud5760038400192009600PROP_ibiswriterShowAllModelsPROP_ISimCustomCompilationOrderFilePROP_ISimUseCustomCompilationOrderPROP_ISimSDFTimingToBeReadSetup TimePROP_ISimVCDFileName_par_tbwxpower.vcdPROP_ISimGenVCDFile_par_tbwPROP_ISimUseCustomSimCmdFile_par_tbwPROP_ISimVCDFileName_par_tbPROP_ISimGenVCDFile_par_tbPROP_ISimUseCustomSimCmdFile_par_tbPROP_ISimStoreAllSignalTransitions_behav_tbwPROP_ISimUseCustomSimCmdFile_behav_tbwPROP_ISimStoreAllSignalTransitions_behav_tbPROP_ISimUseCustomSimCmdFile_behav_tbPROP_ISimStoreAllSignalTransitions_par_tbwPROP_ISimStoreAllSignalTransitions_par_tbPROP_ISimSimulationRunTime_behav_tbw1000 nsPROP_ISimSimulationRun_behav_tbwPROP_ISimSimulationRunTime_behav_tbPROP_ISimSimulationRun_behav_tbPROP_ISimSimulationRunTime_par_tbwPROP_ISimSimulationRun_par_tbwPROP_ISimSimulationRunTime_par_tbPROP_ISimSimulationRun_par_tbPROP_ISimCustomSimCmdFileName_gen_tbwPROP_ISimUseCustomSimCmdFile_gen_tbwPROP_ISimCustomSimCmdFileName_behav_tbwPROP_ISimCustomSimCmdFileName_behav_tbPROP_ISimCustomSimCmdFileName_par_tbwPROP_ISimCustomSimCmdFileName_par_tbPROP_ISimUutInstNameUUTPROP_xstEquivRegRemovalPROP_xilxSynthAddIObufPROP_SynthResSharingPROP_SynthCaseImplStylePROP_xstBusDelimiter<>PROP_xstHierarchySeparator/PROP_xstGenerateRTLNetlistPROP_xst_otherCmdLineOptionsPROP_xstVerilogMacrosPROP_xstGenericsParametersPROP_xstUserCompileListPROP_DesignNamePROP_PartitionForcePlacementPROP_PartitionForceTranslatePROP_PartitionForceSynthPROP_PartitionCreateDeletePROP_xstVerilog2001PROP_xstIniFilePROP_xstWorkDir./xstPROP_xstCaseMaintainPROP_xstLibSearchOrderPROP_xstUseSynthConstFilePROP_SynthConstraintsFileCST files (*.cst)|*.cstXCF files (*.xcf)|*.xcfPROP_SynthOptEffortPROP_SynthOptPROP_SimModelNoEscapeSignalPROP_SimModelPathUsedInSdfAnnPROP_SimModelIncSdfAnnInVerilogFilePROP_SimModelIncUselibDirInVerilogFilePROP_SimModelRenTopLevModPROP_SimModelOtherNetgenOptsPROP_SimModelOutputExtIdentPROP_SimModelRenTopLevInstToPROP_SimModelGenerateTestbenchFilePROP_SimModelRenTopLevArchToStructurePROP_SimModelRocPulseWidthPROP_SimModelBringOutGsrNetAsAPortPROP_SimModelGsrPortNameGSR_PORTPROP_SimModelTocPulseWidthPROP_SimModelBringOutGtsNetAsAPortPROP_SimModelGtsPortNameGTS_PORTPROP_ChangeDevSpeedPROP_CompxlibSimPrimativesPROP_CompxlibUniSimLibPROP_CompxlibOtherCompxlibOptsPROP_CompxlibOverwriteLibOverwritePROP_CompxlibSimPathSearch in PathPROP_CompxlibOutputDir$XILINX//PROP_MSimSDFTimingToBeReadPROP_ModelSimConfigNamePROP_ModelSimUseConfigNamePROP_ModelSimSimRunTime_tbw1000nsPROP_SimDoPROP_SimCustom_postParPROP_SimUseCustom_postParDO files (*.do)|*.doPROP_SimCustom_postMapPROP_SimUseCustom_postMapPROP_SimCustom_postXlatePROP_SimUseCustom_postXlatePROP_SimUserCompileList_behavPROP_SimCustom_behavPROP_SimUseCustom_behavPROP_SimGenVcdFilePROP_ModelSimUutInstName_postFitPROP_ModelSimUutInstName_postParPROP_ModelSimUutInstName_postMapPROP_ModelSimSimRunTime_tbPROP_SimUseExpDeclOnlyPROP_SimSyntax9387PROP_ModelSimSimResDefault (1 ps)100 sec10 sec1 sec100 ms10 ms1 ms100 us10 us1 us100 ns10 ns1 ns100 ps10 ps1 ps100 fs10 fs1 fsPROP_ModelSimDataWinPROP_ModelSimProcWinPROP_ModelSimVarsWinPROP_ModelSimListWinPROP_ModelSimSourceWinPROP_ModelSimStructWinPROP_ModelSimWaveWinPROP_ModelSimSignalWinPROP_vcom_otherCmdLineOptionsPROP_vlog_otherCmdLineOptionsPROP_vsim_otherCmdLineOptionsPK +TT5__OBJSTORE__/ProjectNavigator/__stored_object_table__fRY jjH HBd kn> l + mD L kl n) oZ " mX l jZP p[ q< p{ lڮ: H& +K H  rL` m7 l* + s4 j{d l tE4 u ) p tC n oiZA H9 n oe sK tG v0 H w tSY sqoG ohg n tL7 sY$, Hf lے lZ m7 x  o\Z kg tG] + sz`: oW H@Q H m tQT rO tC[ yo m,E od oc ke sX@ zy l! oY>a {k tB O s mb jy9 vJ^ og7 w{ ^ s tN v wΡ q x ! H x yo x,. x o_#0 rD* psK of v x) sK a kd m +2 sR +y sSU x mw mD  nyO v7 oW m - pu vh = yy tP tOW sJt H  zV rG," n p{1 oc# tP~B sY tIzT j : pI j k^ q1} Hg mG m_ w kb6 sY& mDs HޣT odZ jE + s}V ob l, q yf tES oa tE > x= m mH5m n ' se v tE M mS x> mU vr jѺO xl kjY n n kv[ k_ p ofB k` B HF pZ v& rC* m  l$ tJf{ Hֻ( vf wi/ k l!' tB9 sY  kn; H%T ww_ {/ H(( pA v% qac kY + y kXn m+ m\ k H=( j ocj zWc HmZ j mv j  xһ of% o\ mvc v8 H& xۿ xq pq jyPH m>  md J kn +J s tM8 o[ 8 s U zh B rEz] mk z1 m/o rI2 rDe6 y{ z m] vIH v^= H"| |b kc7 oa xD8 tN {4 u? tR/ v## j73 ofP HQE y3p p@_ yj v- tM ju n kb y} z k$ mN q + s w kn rQ# jx| lQ o[ ohM oe m6 m tN wO m` pB mvh H rQf jdM mSS m tKT keg m# pfj p_ H' m pW] + s+ oY mj mwY7 o\U ph j ohf  pq o_j e kdk rN tER^ vlw l~y vi p= oZ }u C yy-$ j1 sY04 sY* pj H]G vI kb nG5 lZ jH ~% H pr76 mj o^9 pA v m k` n vv m lR n| p\0 y( ( sc zAp yx { k`} mZ n!u rJ- H rPL m" oW tQ  y] l P zh2 sY. tHL od=G y) |u n  zi tG" jR+ p}t p& ktU + s j> m} 2 k lVF j lT HA mB p]y j {n vm m tI mA x$ q v* l sJd v~ j nr mw l% tR| zfe xA,t oaV v4 p{%) sY< kd yu xE mq y 0 pO x7 j }rc kfc ] sH m! +" s}R ob {E {# m xGB, m tFS tN m l(u w k^ & tM  pp m kdO of m0 p zY }B j9 nz oc zZl H x ka[\ Ho ogo Hb vS H# tF!D lu9 x9 tP xse rH + s o`] kw kf+ z x} ocM3 mb ny + tE mR +U s oaX H#\ rG| x joa yB k_c p~ tF5= l sW j tN tD  pq mu mK + tD rNJ n sv tHS sKZ Hu l  vv jn xn pxm  z8 lnk mm nj m jup o\R mH- oc8g m t ka n^\ v sv tE* ~ x i ml@ sY + s>Q od( kc6 H(L6 rDR x?N> sY wq jz l k] m tFI o[ + s<= ogL kc + s odw v wio sL j| l S zhK tCo  kM \ ke jl l3  js +K s v yg  k rM qn p[ + s6  z\ y tL klSm H- jxo {m oW og rNWN v  |y j p? H,Z xc o]? tK"  qO mr z v]r zx6  sF 9 pW sv l=B p\ P s2 v^ H N jm lu' kX ? |z x# }Ŀ m. m jr% pz l$Y my1 l" H  n HIJ ofg {9< n~* rCyW x oZ j{ kkF |3 l4 x j +x sU ka? mH z]c rH v? l=[ + k0f p[_ vlfj p[M& j vs9 oap H% yX> tN yKa m22 H sJ sJ wm; j wg nl l4 rD M kkS tD[b j( l l& p} pwx Z sx Hڅ xP |o xR H ~( p\ mC= v3 p{~ o^~ v u+ p\% D yy l` 3 z mxH vj rO&  p? m y + w|I H@ m=W rS( yw\ mI w_ x rO wsq rJ5 x m|7 vz wE rM ma p[hS pa sK m! HC x oas] mq v, l9 lsg H p\@ j6 k kd5 sY( x-v l tL, m k: {8: pzt p{ lg x Hs rJp: m: mp nD z jt` x mG x n l, z[  y {zCP k m) tE! m km o\ vW U s sLA jY m lO md mE/ x + su He ln v tEf v lD + j2 pV m3 mo' yvv += s> mY kf r kb2 y~s l ' vM n} o_ zW zZ jf L s +T s rQ k(5 H H 2 l p~of l; x: np&M niP rF x m kT0 y9 H$, tHW mjR m Sc \ sC py zn j( z {Á vuK + tC v}W lBe pZ x y +( o^ j+ {&@ H: y ns7 n rQ@ ny mo tJ+ oZ  p }† oi9 p9 ' pE7 y|C p\ tCe pv x)~ rPM m% no x v + sy mn H noDn o]"P p& H + sqw mSi mw jД tS  { jpi HE\ ku jj nqV yw kX tF]= sY zZWL m sJ pid o^V k_ q mY HqZ mj k^ v * kI lK + x5|s { w7E m vt l +k y) +9 sF m #m { l H=J m+ yv> R zh I og m> H +4p l +]: la rH] xHd= yzd q b H; sY uM n*  k xӁ ; p + s| {x/Q p" H +; sB k w j tJ v vs  sD U jj5 mz n ka V s nv_w mo  ocr HU j: m x +G7i zZsi xf HE xx lR m" A sJ xh0 sY2 ziKJ vi5 {iq xA nxu$ ȿ tG] j}J mGi rII sJX k kZ\ xf + s| lE + s? m~.$ H mҌo z rPr z{d zY x  z m[Y l;X m4 p[ vK yaz kfy H' H +B% q w^ o^q q ϑ vrx m( ~  k_+ oZ sJ4 H mQ. sY6 rB +z sQ o_U y . pD rEP n) {W xW oa, + s nC oc }ɵ wo + yK[ nk" G px2 z v xd zz + s8 k]k tLV< l pw m sK jd pueJ Hl npF v| j= {|Y3 ypG l> sv o_ yJ +< s@& v sJ tH o`. H tL + j kb{ xU yV zgk ka k^g~ k v pxj k tP` o[ sK tLs mj sK +_ q ogi yH + s x݋ k[ p{ pB x^ o]p m[: x[ o^  xi o]\o mD S sX l? mX nju p jZ p j0 p kbs { lH9  p w > sV< H#> + s jE wo k`{ m" p> ob} tR9 m؊ l! m +g + se j +7 sH p/ p[2 x p\[ ( p*f mlb + x tB p vS qt I sk| xB@ v n\ pt: j~ + yI H+ +0 sV sr { B |yI oe lM p\vF HD зw rJ x@ n{ m$U x# pr` j# ~I tEHH oc x> yz l R s} rK m!# k]R rF, p k_G vxe z2 kbW sqs< m q͛ x( " p  7l vo HF sK w yp o\) z" + s tFI zA k_ mym m & zX" n# k < pM k`_ j' oWdO zZ; n{! kK n {$ jq j rN mV o`C oaA od nwr jK kf o` yJ m;c nl n+ + I m7 xCl tM~ j y3 sY, tL pk v_ kY[~ m ml oel vzz oZK tPC 6 p tKR] x@ m j +5 sL & sg, yr x o[ g kc nW o_@ tL W s Z ke tG xxL HL ogC k| H> sK lX {ʡ / pj m j tM tG j w pX n< x;S v x& x + yqya lA pZ m n; |} of m. pq o^ y  zs m% m l~2 +3 sP ppM l v p| +? m vxA vzU { m pe @ |y pQ of vX^ vg p\ x x&^ x$ tP nm2 p}7G HAz H jw: + p J si +! s}T m my } oY[ tP W zg' rRO H M s + s v( tI v6 q} } x sK v w3E kX jr vÓ? mA m yJ tP y|l +4 sN tF+ kk p\ k`' p[ rRKr jnW x  z% j x + sJO o`W l w& sgn mA; oW+Q j* yX~v pE/ sY4 T s v kaw. rC/ oe: sJ m j w + tKu n tR% kY m xA -  y] y nk p +( H tEp y jv o`l m + s y| k^" kr js\ jke pw y{? p {d H` H! ~ tNM m x@ kcN H! x l} j, }21 zwA ke p]W HV Hb m@, rC n~Y of wz  kj tO k^ p~ mq nn^ p Ƈk j o] x j  yU H{ vr  mt x! |sG x'$ x sY m m tP muG v jȔ mHm vnx v_ Y kfG tOn j'O x72 jCC {{ k] mz tF x| x%> j$D HH# H mܪ kd L + s|y lJ l n jt }C xw? sY x" l] sK tR o_M H> H! v|k tC tH e kc x; ntMD j kb y  { l#gs pxR/ n pw  y' xF mTv m kd: sY qz + s tP~ lCA m0) HF k`6 l + s y mc kb; }E8 sY" sj xEh kc v + oa og zi  + v + wK o^k HZ o` {K +: sD n} ko" p|k rI pW4 q x}. o\o p` m#c | m yo k^  x߫ sv jC HH" y~S Q s ; sL p[ x jg n rR vg 1 p4 {c z; xz l` O zj E yx }} lB mq m\ tB nj sv x jA zg ' vb p\ + m z k3 v jM k] tP + s tF?~ l؎ y > yz: m sJ  zXA mH lG m k$ mOz vq" }( vi` vke H* v8 7 p N kjK oe T va nl px kW p m  p + pZ k]3) y k m1 m vf j # y km y|( pu( mύk y l + s nJ x!Ra H x5 }1 z~ H5 o] nA } p^ k {q| oY n l> sJ tE\{ n- ql  y1% pu squ] o\o j>_ rH"p v v9 o[Ph kY o]q sK +S s jx od xM* n tQ v: mI9 x + s sg k]O4 lHh o] og mz ms5 rL nu oh nsm nv+ H: mj v tPA obN l>E n wW8 p}  nr= vt xعR plQ x@ yJ 5 sZ l%} v{b oZp) v pt n5 m p o[= j l' {r v jB xHy mBf |N p ka# m* HX q mڪ xD kco x' p~8 mE5 ob qˁ x Rw H܅ Hb j Y s q0 lL }/[ o] q l  k ú sJ j pwAu nn obK m m zXK j/ j n#S nh jlB vF lH l2 + s mM9 oe4 v{ v + tI?Y p H>= x;p [ s p:! jfg |q HcO m>m km + s7 ke/  p4 kWx p v~ zX n m5M mĻ vyq x4 oh} {o ke tLw vE HC Ǹ + |o ! p'q px0 jD py m& jw l5QB x5 mq ju( jQ n m& sqm kY?~ } yod kV p8 % y{ nY p sJ tIT pLD sY + + m wk kn\ p zs w6 rOa m&/j mS m m +Y z  p + s|| lY m? ň vʳ mێ| H pt p oa ntv k< oW kl vZt q) x( + sh jZ1 H` vv+$ k_ x sK v  {v^ m 5 k p< sY7 H' yE# nX m v@ k] i kcS oi {( wu kk yKU{ rK\ x" m kd3 n+ j m- sY8- k_ c kd + s m q3 p m' l k^/ 8 yr ob {t v} vt m%y o_ tL ln x |wt pp nS pO x_ o[$ y{b- y}X x y j +s m tJ tCK {i v j N s# ks  j xa tO l& m 4 y| vo m: pph {̻ tE> tQh z jn sK  p vW m w pqm lA? ob`F rE kA sJ{ vp w̅d jlEW jU o]J k + s U {< yz( |~ nr l y ka? rL%P v m!H xvy rK! @ s qu x  kx vM l x' _ ke; l8 $ y5 pzx lc +1 sT w! og* z}e x p +L s j x 3 H jz mƃ, sY: oh9 sX sJ zi + sl mf m ym m  ym ms m9 j jk H8 j$ mTV lD x~[V {` p oYQ { tD m j m/ l kb zgC5 ji H pZc kf mΕ x'b m rM ] keKf o_ A pyg mo pr kf | o`l[ kmT & p` xԕ m m< m o` mp tL }E sJt mnt X s tMC j! p\ x@xz {P k^K sqq] H5# yo lf xq x$ z l&3 o^T v + s p( v + zs m \ m w( yuN rFg zrm x]= n9 l o[3E p}l v xIA sY vt o] v[ H + s| jq~ od m tM - y wH jĚ* yvZ[ me  rK. yD mȳn q l" uȻ qv oYx pXS jC sY } k` x- xEb + s| lҐ + s: sJ_ ka jU x prR H? oeO  p ,ƁEK@isAƼGGYmu-@)7<f`I-ٛ<J(G%& 7 +ĿgN1;k惐OͣH5:pVO؍<=vvHavLervF)M9X=3K,4}pȹAˀck^O q8W7@C2@a,U@6ȄCώ٘bNNcoIn,S ;8~A]«1C'L\?{/~(z\B񒵸UݕwLGG6aCr%WSJy"[jiJ`HG\{6I;ywLvLnnގaJyLN?. +ŗ N?cNmq}ML5Ta1c +HÜfi4K.^i(A)E4RƁnYAJ +aPjVKޗb+bոA3<Ò O-H7)]Gw;Klk\K_U{4|C0xf&V_׼I'і{":95=TO4ᔩAǯ=45L'|dv$%Lܞ>Wˢ]/:&@\;(&tzsGF+E LM\>pӈcB2LxqhA*U@P]]FxX!D=>es@^A5҈Cg^oE`_KIUtBcݼm%JB8GF/%!JREϯZlJkV[zJ;jKذ'^uobJldM}@H<Žh_;XDLY' +N :J뾍U'GtF'KDZG.qcB>2MpnG\ S(NzcTJ.D_(”%bCX3hDQwI? ̓dE@=oWS@W6}꫞yM Mݫ/I67 +Fك +fIu3qMo˨rC&HZ|1JqIbPANe +T.[Y/`J:O(br0y?)Ky* +ahFf3VNb1yކG} +2;JaAgKNnǥUѭB Dwi68IzQJiqtAoK&UNcTAi9W}8D0Ԃw.v|F1FŤl$;DG嵱@(}9uۜP-Kpd0P>K fT* 09FC!P` ]Ky0x]G۵ǽ@i +cVMto:vLK TQH4EVj*}l#мJ>{Jr¸ +@̘"]-ieFzq@ +n6gw}BS];?I ݉fFD{8'L\HH Ps@cqN"q-Jr5yY"0IA܃3nEddCp(m +Qf ]7K̩n;DFp+ zNu>ojAՆG{KJu9AT.NM,{Ag +H<&}v1NlefMyFŋ?-(e63_ÈF⍮/#4(vXFC`1#dT_J6y縓Kw˜%GO{,PjEClvY)J+>K;Nu7ߡ@XoIYl[BcX\H&чg YFZk.| 'MGra/rID +J:GOzc(F2F#O*5+o-Gcu@2eXJBG1|AHE !SQ6=wGً%$~p3xd@m43㦻a9M +c SgjKJxs2JƣV}Iɦ 4V#oqLḁynFzHe$aYj@ +!A[hnU +jL_U685 ͩKm3oHжg]4SQBz<.[uIHտ)wd]=dOWu@Dj*P +&WJ@&EuBbN[KZIyZK4m]D4>nq@$t}XqbesARSiG]ZFB ;0XG47vVeKJqLSK(S5F+S!u[}7Kz[w~< +OF@W.,-ЦEm)2( qm|OrHFuFВvyҊǨFϝLILU. G,wۦ?\GBS+T2y`ݶHvN$FJ]_3ŷ?-zsLr5ɚ2#6NsPe]ЯR[QFTH]'5`AbZ20 ZBFz2C$"٩+"⴨nHQ;_Dj486FϹfn8a9TDZ.qA y@`@q0E^i OM^Nf} GDc;bc0KYf/kz[ ̇{؋6@S!%`aeըhH$I2 NGӽ_w.Ө?)Cb63~>?Ab]զ+XW[Gţvy{>NF/GQ0թ|NajyX9׿gGā!!#!7\\iFǧd=wŔK + +sS3RC&|MNDn=9^ VHSȈi!)Y +IԲ 0M,K5M}zo꧘_::SMÔ<Y#] !BeOeMpjDhG7'Pu?q@̡o +sn7FO* ʁu@H!ͼ~U]BDPK79iTgH}}*]fOvB7VDSmyrv[|I݅j'8NN*.DR֭6GF +V dO'>=grEOWfXN +fg{vDpEOE"GW~J WfƂeB%?-MN֦Q<-A4ڧG2\I%m2MM;ߦCU`_@LF & 1Oz@9F +PHmFR},B +"+l+?=$Hȑ|w@5tˆF]CLtB1u.:ɲBP=_ĆzJthj4?PKj A&F DM'PLz CNuc8̪D  +wK6czi4]eF@&q(!=ވrlBoUګ2ͦA풁E68 @ŵ~(u1DNxPtxG}F{vU͂@p4D ZLYJ魗BR$瑴GW! +FXaOE>tOt@㻡H9 YBL-%G\oL8M)C7#D?{LKJMvf +eʆۿ"HevCJ-7o1q]M%؍~5 oCs8bM'z`]D=~/_XsM)"U\V*E312yϰn@dsN1֞ʧ2v=pL<1e@XLު;4ŏIIWܤep 5&M8 G +")8O+󒫴ŤA!YD=o!v-M>'gan +nNSEt9(Eal=ꍨ$7KґV3VuKLDU#7p]BIj u02E +sϾGSlWICCwHPw(3T +ӀEí{PH?aQK9eF=e@YbĵJ +LvO[ i !Hٞe>,s!OHWX +HLeOmddwOxo=Ww`<WGYDQPtKI=Xq5sAD +z&t0I3RD}0>6#m'JȒ3l@}5ǂ@\?jGl-Dl)ֹG=d lAX6Wq)fX6ˋCӄT cZNk)'XDy@ܘ LtT/KeRzNزCD5K̆feI\H\@ +A Jה7WC@F >/C%C~&9Mρ_MĊ@7(WV EKkKQw;ajGy W3B2t PxtVoOvq^s/E HYdg0xGp#)ش=T +N@oAn}6+J8FIrÈ_( +Hn{ؽbVdFG{SuԐMjnz!_DW+`P \J~l + *MMC:gQCuF/tF6F,$O@H ?q +CJAw>̚N2N@_EP\Cnh(Ce GR@ K&GMۂg~BDDN #mkː )oN҄r( -AhgOfvNy$ؚvYONՁ`4 RB$*=B vt5?lA1gCMMC0'O Z>Nw+"țUOB.'}|+UK)ղwuVO)YSRxFK#YmxJqC!eK̢H_De3^Q:^Hd]D{@VJ!2IBd@qD?>|zhg +@ `)PFzaKʌ[Ԓb[z%uAkXË"LԮf\aE(@G!-u:l@HNrRe”6IОۍOMT3@2ZwG:IJQmi˜0JM"D9rOLBVK$<\FII'eÆnKE2/,@S63:wIe/sh_M\3-+hL GCNhJ7*E 8Kl- I[r9gFa+Aת'ƅ$g y4@M ?M͇z1II,c@>[H(=FD +qM_c(R TTON͒Wo| JDlĽqqT5HӾ}9ܚO&H|P<=Jou0Zz4KpEB̷6ʞJ(g=蘀9I&P#c@ܥ:0Q*IG,eLDOGsz 2Fwmm!6j@N ֟,8@2IJy;gIi})BJBLw׈d'LE"]يz(@SP Ri+{(uCk4ZH-"mYƮDNB茯>n~9MFSO:dU*ey'CG +$^(NIx @Bש[M% Զ`HIšކSej*#PKpx?KDuQsxpzD@t?f:JĻ1"5GOhzxFڰ1z=h.z@1 +ok4ԝKYA1/qI9G.rǐ"]A-=P.>E B ܼ|-QnH$x腂M +L*4NK=x۶H߬U'r7F:d7Ju=ڪ +ML)HٛC=qiySA+9FAU;CS×?c&TJOy2sO2DIjY_+Z1Z;AW)s7%U7D DC Eif͆ZW-NxBDٓw _XpiEy\`}HPo DGbxE?wB +eAAqcIFtB+W@(n*@HzEmÛCm.x-VO vjyS]Y]&OpYďKk$L'E(ƈ5}DIJ~fy}wIBJꝶ,Bn]uDF +mAz*EYG&?GL% r IHE=5I"HOFtVu{!DylaG[ u H +ԍ(*WVK0Q3ک*+WE8@> +SkLb}wT`.(@n j7)Ferg0z;LHi͔b=k(J|qOk +O{-VRE`PN00~yH6ɉNmUr,N~}w.ɗ@BGNglNhh@Vǀ{5_DNgF.LN>6~5fm4QA4 +>Fe<%DꤪG*!pIm'b5 +K)XD-儤@?2l +A]%Ӏb:F^@Ʃavveq\d}]LUz}jtHezOSP+ S~"ߔTBL9cS$2zBU&HJ"{/$Hi #T7M|c vRI/| TQ ;&@եo&Wg*NL.5v'{C퇋J*n\xhFuO<8`[-Lʗ䁉 oRƖF + +أL + ^.JFٍf]4X"N]*Y|zaCK!_LZyAiOۇŰ1:Z]>M+{D!ZfCgr瘌*k+Jh8q5#Ȫ@xif9LOCs2/ȝ:L*A{kfDDiK`AkNԋ|4GMPnjm T=I@݃&:yЊ*) +@TU,%Ǿ1T|JSQA QgJE @4gϩRT&By`dH˺},d~!1FbMVqgO + a/T M1t`EYE\zh'gmNpPv%@&跱B &X.ݗ)0(LOb [0ߞOO5.HaNTJ "q,UInA_{piI22Տ8DsEİOEt)),HPsq8|LLèT+%|%췐~n]KU#.0je(G碶KqԹgN@N4PsYKũP•ZeYE-r#Ɏd80dRE]Bn\aq"OnoC|+.B3C3}FLWC^5Mm>OetIy:]sL˯3+-BL 9f&K CF¯ +,ŽL|h +WA?%Ц=$FIjաk8-|B7s$EEGd(Ŭ秮8ݬԱB,040&iLF".jAtiר~[V[Oݮ]S'KD2-K#;o̵ZûO$2! D0bkL +B:!Éۧ +N}Iz^O\_4Oŭ/E塰۶Qdu7N9^͘HK<tߗߠZLH‰9ܽ#99EխYL9~M*w:&ՊgN}q#JWS3L5Qe?KQ&᳒.rfAv뺫tK^d4W:ߩM"L[ji͕L8KqNJnEA:G3aOUAljaNr.ѕP&kG*}˜RfIۆ %;A᚞ %H%2UImA]8\yM⦤)qؾv #KrM-yL D.x{a(Eb?*`ؙ^tL-"3GwP +DhIO虫Fm 8kLƔѱIݎB2gΐOˋ::Os5;CjqxR[OĩZ=/uLva{Lœdn:'#NG7f9! +BMxyl+D0H 5UZTaBDF\9UDlF/vG#K wP A>XYE魏 `FO8y.kIп/T$=2MZtԹ@ZG2:ܑMYՑ墌O6}Jd,掍%mZJMqvwSDJ! +0J/h-{(֯NnK[富.cK`xgxz@۷vM]mX9B祀kZ.NǾJX\Uu`B\k? VRZbO]ok|?䡧Eq+})4G9L +f + +TR>@;mmao5@ˌa5_h +dCW7Y +iM:x;I,'̥@L +wB.wQTXUwBYN+ho^M7~t]LҰN1OG5%GAcᜁ_IzW.80 KC>VזGɑSN(6ZF-sm` Fjϋ ښ@1VBFߕ_ +rNYH5yJ;®9u [DVRr3 +G׾~g ""3JJhIC +H"!CόuSK㟽+xDAfE3/GiHJOH=[j[Hmw!RgJ% +˺@{& +mӬbK0?kA ՅE'?)9BNnC2jELm1dEz Iɕ%>mk7yBBב57l./ 1{Oߤ׹5B2{Cdj!J651A +O\O V85~ Bd֠Q) +FNJ$0lEM7sa%5 6qhL3~PȻfDۀ$4!dIسXI\c-GǶ\VO2P_f`TE0ǖݨ +Q^1 +MܘjLF@L(IOAn7hLؠ޽KC +ο ~F℥ +5 sPUIĄhMBxr txJZB^>}X+vNQYuUBF+\1\_`J.L5I<E5E +CDI:DQ';^vQJîldYF!<)r@ݞ^K]-_φC V<0B%] +BDw_V IVC+}{FEoGՔյhJrOJtmԜ5XB&ؽ7x-sJF =@$|&)D9%d8lmBfsRMĜ1He M ?BLw⏣BM26١pD +6# MoK^*"L8lNYu/=|tKJ6݋6ƹ-B# + L9Dn~@sQAL)~T^]Eɱ@V` +K?ZGae!(G!qWO?DYNEI˕A+7Z\}0L,/AU !EnݲK @q7L5U(FϯvQEoDv]ւF>E[od)#]L#/U$RxEoU&JevMGwOe?bF^}WCrtBS̵6ޢVlaGo?Zؾ[M%Cۿ=eM@m0k,`LB#5G8JF-SY[f:dLӎ_Nc0➔Iݠ,ΜMN{‘>Q\)E݆ + ᘁUWNk[!]O Hi݀-"J&8;/EnM!)vCCU)sJ:`0-*ܽB¤#lq`yN.Hܒi+e]I~vAC[ ÜEc@0s^^VmYDںeJ+=2iW,7EPE7 n1HY2 7Vz2^K,J}=aDFe|*ROVԥ,GgӮKRBY%# +{.Lb'k0ޢ@ʡZ'i+7HpZUwZ:Z)JNhye/~Gũ:Ze)NH&$4Gfې1t {dDXi Z{Q3MxaW-γdrA!7@FAց+?mx>wQmoOuDd#'HɉEMy\:KOi< +שXAղM7F-þA&FUTVf>$0BҖМSc]poHJU*1n?N^=IӃi\1#0^D,RII +.^ٜZӦɝHZ2U+*{@DG3ZHvZ&w3vC5mq˛CFݙ-^ރ p3IpB + + +aN!Ar!S4]N*->}DEUE=B{+L<4A1yѷ'c>CntC>.-HA˘~y 9H@YnKw VkfN"zHѨEd7}֓MwIjAѼQuF-(HKoՆ~L~J@d_•}|U;IT'?N\=TH >q +>*E!\HTI BgFoDUfA+m^G|(#cG@ +m=Q2@C<7FV1DtmP0AzGq˨5ߝ32G佺,vIHfr-B7~M4XYx;HBKE˜Ny?qGgmXNee2oAa: +rW8L.M9ĖM*F9Ze{C쪧6{UJZ ÝoQ,1MG0[|Di +OOhG!]d˥|*KZ(lfY@$6IPǽAl;I"痓cI{u\O>tO +?߻!%ohGtULҟ {x>b^ I&TTwQAcEEK+yh]peH짠'߰K8JL<4OXAM*SVi(IiyEuvnQ@%NkaZeLӋĿ:kDH~LGb}PNA|e/?pm,BʷSGy"Fxc?HrK嫂C\TQ +AK+5;zyOP"\"OMT|uǢ>Mp>\@BB253vĢq+ZBS&roM1Ncs$tD %Gg\q>JѮ>Vj(AU042JIҤ +!-KmI M.m8:"9F,.D!($'Is~[O0F9\/8nѡyNG,jE6C0`So86O}[ac6M^HmCӰ#G``;@aJ}N$C7miN:RMHz)xCxۦFSV?s:YGes-G@ZE {ٯ.AԻ5cdPHZ6A\66L%qrwuv\B2F`^'˪O"::KEpP/1@5K;}Ucm_ymDDZN:i@X,h7LIF^)8)vPG!7$*Q#@:aFt&F0TEB #d&CCrʽPFœByS^üCiyJM.3r0џQLYG&(ᨿ:GqCpˇFXvaYkJxŒk_ySCc-_aD M?W.cjPJΪ5{Ƀ-^G:$VƀFSKjpVE;O.)noH4jNySc"yMnXGbD(FN5;RK>]KI?׭XC`iL:񭛬lw?E7V^Me&LPv-_GRF!e!YAScuG^q7+)@ NUHLZD;@D,%I+5>qE?ImzY3j Ic9B4[_hDEnm{Lѻd:!͐s0BQVM~ăAEcj^64Nq'g􊎣+FE*&)P`rMl>Ϛ+CǠpqA9YTC"v̕YGpJiyӅG6FS.XG:fJ)8J|zH؃T/DD +DaXk!~nxIe&^{9fPIꑅ1z)NqKl>#NHDuǓH2u49,K⊿7<4D/,K-$G1h5/pH3#UsPL$ObJ_G(CPDHΗ$yiD㟐J* + +d/: +A,':uKF7ܸSO\uuCye_l9JF~K78D݈e^?(8C e-S$ۅ DciqɍA:\y@ބ[Ν&̢I>|'1}_#HϜbIxDxbx8-A<HD+0_C//6%2DmN4edp,Pܲb?K%s&?ݯWFA()l0NJVⓗjzx +\A-0TM>#G]^ +ܝ:|L~K}HVV"P Hl\l $F{6jZwG ˭-#FSL@:^r6H$',g/x0DrKSmcM_KH+6h:GKۺJ~[uN"{uy]El5MY M`d?B U M,b6ď\ PJ +fm魹'?D>Xgd%;{AnBrpU +BTUrő"[Kۃ4h%Md?:Jq[-҈O^4UaKZcJ:|KNE%]|m*iJn*Ui[TgH~RAH>?)RuOqyEqU^^OÛnWBdnnF_i*TyJ !SM?C43G=g ֮L&Y&Dѱt~0ю>FHɒCAELHY ++[V"GF/&!rDHV$)8\@$keC%rfN=$ + Ie%F}7"XO_F܋$#5W27vEN:e ÈoLI~eWJ³nUTJ~qHo65:{bCa4l eKz;UAE^COCfwq1~F&%XmIJOoe__k"H$&1dnMݐ@`k_C*Amގ5IPJEQ DGQCkAs)3FzͲmwTE)K螯F_M>Mjb +:VccHʎsӻ 'NB^ B;A/6O52;]IT6ÎFmP+:C慬Wg.ArQ $1iIO$G"ףY(Oݚk2us=KjnCޠU½ܢhVVKJbOI4p5 +KIq\glgSÏpN[& 0b-IK $xϩ]J4?qSlbD@ϛ[Jqk@_K&3>2!!}BHΰ$. + {N-n/LHge(@>e$K*84J@ҁ=yM/j؛5PAY +XyGBr" +1rUҿMo}xQO~pVK*WyXD 4αjA3FaѾ[@SAv@bEu{s$^`H;6ĵI!(GSTwEq]?E d[-iJW|N?WGAL%} +/ЌiJ|EǡhOMkWC01m@R!%+ğQG)K}GEYeI8ItKZŸKُ#aX 6WG\qR-h.KCmI[{6@"3Nf3R:~I襗 jd:TH@)0jL."?LU++5nݜ͞Jըn-תBDaz]M2nJEV 0 +6ajNLۄƇHV@bOk&f +J#JWЩ8rcHNN&eJFC߳ͬj3@!.T#&KJAh:o?VJA7/V!F ObdIGJGR +ga\D>#"c"Q@ݔ?Gd_,UDaso +TluF 9B>5 BD .\ +=7}F%L +z9wݎP \@ל5IJ,IJ@nY&Dć'O@_4 ꉹAe$Y0_.RR8M8,M$t4OگNs0uoCZD(zFT{”ZZMЏW]iSU~/GM6͉O +HH59% MK]:;{5 O%vWwkw]M;ltbB̭IFp ]7DBӵ]IԼGta"VԷQoJ4u&D=575"X;kFzʝOL# G`ⓦJr~wNpleZz- 9-MS^NҪb,5mnٞ~Jä>$y itDd`ϬNZ,@pj~gm+@3MesNtDtn-HCT}.7..Gw@OkeKiPM +1:0W''VEA +qψMH_nxCM%iKm/.F?8|Fc/e\ CDyKGlNi5˳TwcJ?_*Tr8(Ij.\tYA0kTj`DԞf.P1HK2RN+"ԩc!Dƍ>I0O⟤궍Uw4GAֲGq;S,E[Ԓv]/l)D޴kktI@Ds:A M7\3̻[rd,I=!gl +@3 +OZ("Gy6N2Jks@_JҴ.;U.'MNV# Rqf-J^Bu2+trN6F2.7MG۵@= Jvu/+6gAkYGCCDQȍڝBo /F6(NU<+EZEl2ҏIV |DOW>Mt^AuV70wǩBOq%hL/.>MgN0؞s󻾛N&|TO4koClR 74Fc?lhcujCA2>"ğYBn15T#RM"墹kQF(\qdw$E7@:2c1`GmB=W +z&L]GQt, NX$Vut`H +''VJ""`labNo?w{tMڅn? +ڭΛI 쐾+Cx*AtD[ @|5b%LqnȏTP1(@':R +;LS +M+Au=5y&yIL*b݋gL4対1!N4wjg-biNBD)ÚhY+cEz +PkG +,TU풥Ghl%lEnLMZ;v.D ݒ(WKƶUP6N?Jer +Iu~ |Gƙn@4X՛CĬ ߐhz&N )l4żtwGpu$?EFKIɷ[LgX&Z C[Ne<[ oyBy~uf?XAb-`Bqvl_0FLmKycDrO +^beOOBu9~xx_Gac.@\%}ѐ?C}wKoC?JhXv\I7c>('hOǏ1Ӫx"CB9X?qZ@OTOTJQGmSeG=DÚ0[ :q!L_ܤIt̘0֨F^tNES٥~Mh.N0 +=5ٌ.@D+#LL)Q{^ Ze\J)_NiC+OSMi]s-zB)9i%QFˁTJqJBw.! &a O}KڛԭMdN2P 8-uNbKQGӰȽx&TOyaA .)WltmdD̈}zԥ]zD.hYh.)aIO`|0 d:6FW[NP4sn^nEBۺ, +)/XE@ytړgHc @~k켺pOY*?)DH;>"UOW58!}1F< +.[sSGK/\UMdzAD,dMcBVbN ؘ+Ojۯ}O +P@YNdSb!uF;*TnH@ҮhbyιOKu]u%e_}O}>K`O]YD4@cP@cilӉFB>@:G' j{ AMƶJW p \:LU`trLO|5ó= =mJܸ;ȣ3O@7{;@L7AZB(A)+hIAd6)s:l9M&T!4֧ +8SCkUb|/ߴ +IuAcTo/Rx0L'o$'.}WAI+IvDV;t7DմcZ ޓEGA1XhpOL7?zo E2vzM=;n-,aT?xC@V0^,7-Nm0BeD0@ڞ<>KLn2'ME`L +iN9\SFwEgv^yD!"iy5L*PHHA9@9Em8$u1k@ dФ߈yhAӿ} *9})CPy||Iޙ"XC"!JHHi!՚(D,"rgyrAP_ٕBpm:KNN_+,%E_>}9mMȿXg|t!&Hf㈘A7׫_CBp{stOb:L8{fZEʶ00sT]pK3Zd۝d4hJ[1ٛu.J+b}B|U6E䕢YAqe$gAej4r`k"pNalPG)h:[sDcyJ- ^FWc8 GD&C pRj@8+nC1P2ݹ|HVQ ]{;meOЌ: +xM;A|=lڨ8 F=V,-VxIv+QóLF +nBFL^4G҅reLp8-C*>.zFt LC$3G3YֳBOSnZ9lNi[+K_Q~obmI"M0+>H%KO9"(-iLCo?µw+'J|6Si@ȏA=űd9F}rZ8SEIaȍXz$)7UޘCޛex}ݏIRV +xPj'JLy8߳(}F"6 +SihE=WJNP{YDp B!n%D%MBu/Y"]L"X:tFԻvt&ڤ0E +юMLTF#6|:Eä-r +[GL)ZA&6Ψ3L0//rwB-Й3l>b"O'-.1"CSPV3ObDނCVqA'k)G<]63շk&N)CBQsׁF,E*Cj0DJBBɞjߪA_Fg+NoIɂ,LmFAz)O_Hv~-N9G%Q8Arb~ŽOr8C S<5Jc#YyMYD>"$@9@&KNOژ n͌ΗIl-/**cANH iK򶐸,]ߦi5AθA9|fPI 8t@:f/Dw|cql'<4N8 Q'tcOū^CK&Z;O!e+>6e0MJwmq)L VP݇H@p-ZF |bH!MӚښ CnB wTb?{K +Q{?H>3M'?VHinv}`TQ>JMhKꁕIHuJ>EҁpG3^4yҪVpNZAMiFAΜ ШB7VJ!L|Յ٤_ZقA-ML7dZ$,3@-$FRXUJޖՊ΢&$QKŰXy|L@ܔ(9 +@Ed'G>۪9nMCI#8 FBs~Gϯ Ñ)?ƟG![OFGIbGJ)R L;,mTKD_i~1U%J0Dv̯FjEOL*>c4uB,\9^LmpI!2{` +N4W%G)vf[D޳\04*O~C1X[2pA:w '_˹LAI݇pI|YuIAªISNMwu# +F (ďn˛֚D/Z{dJq ;N]yDDIUpN@zJS24yM\@~Yv0ݬM=G$S?2wvݾoCϭc2Y'JE׀Ջ-_XP]@YcA* +H=(ҮIgCOsk +X@ <}E7dB#^t^D`K%ߘ(CPzcI)ߕ1w)G`Q,ȑw!(TI5#RЗ`HkO {˴V4O Ou-)c2CƬGZ\aDD*Ywt'sLV]xIƱO66_L +ʇ/[0p6BG✱wlHZ1!z jtB1hNEyH{j\.?ڛ6|HC: +-Zr2haNߎd w}AVZI>E3knDO{{o#kAoJTj6?n'agG=+1.p!KV8s;@˝fBjeo8j_^Gu渂Day%?kB{l??JnQK*T&7rEX|iJ^. kfh(BݞkLճA\gd4W/NfBAeicE +1o1'iK̙GjEvNAHY +h_]H*(߽ڗ~G$ShYV@!R\0WMjm 9J@UJ$K~cOg "!Aɏ<5aS!D)ՠ\ш gcZ*@Evѐv"04wHťަAl}(ݝi_;|LוLͱ9FAv5>6cAZdSڬPCc2=zҳ䡌BVm?'HKNrN%0\ܜFhk p@CR'J#L\/9ڭYwLbkM Fh^cKx"AH婜wEy{'C9&B[ȣxsJ)(Tf6.PFTb.hoLcxVGԙa,t#f;Hnc4M[>~C &(J6@p]\ +BG(v!Pb9HG65d}r4HEۭJc=15UH8LlA9M[(T*GO5ã(Z>A%CЫ|<dPQEX(JJ-pa@QlE^OЃ3diNkqk +D9CA72nFŃo]őXLQ͍â sL1D3IN +q)w/Fûk13Hέu`EghCڋx1=TwvBS_>>FZ!z8HgO\RlY%G r^Fxx8Z"%C@+g &HհDJ D{ M[ +]M BYO }?rGM8iE﷢_'! +M%M. 3OɝD(CEy#^dI:%kA][H# +DR>2p:jAϲ-ǽJFYvV(#0Cԍg&!ZF{K'n\J|âv<^`WJh`K)t䉛+T|G1BYC{yd&@}ӑU1J15J@dL.:Y^:Oᒶعo5##`jDWLLKQE${L\wA+Q3'IfO4'ڕN˚F>Al+k<IsevK0h +@Y*/M{>?r_fGDmDõsKIơh6@g;5H}dD*3{hDɅ(*oJ~M=B5SB@>ɴ^ЍC5<̒*L_܅b\L1B8L+HU+;{@ MŒ*k@ 6媗ND͕%A=3iVJbqg=WH{s^^FsQ2dEHNjPNˎ +=Ak'?GuZE AtSbnEoJxQҚvDK}F&!8PbexDbcd12 +FKT|u25gMPw`LW49BRK8iQkK+;q ;dh[Au#q E,8X Drx8i+&JDI +ߨ:ϷMm=tX73 +y͔UKؿ-8:&kElaTEޛ L[r%V@bLX(RBZAIbx +}vIfjN%CadAAMADFR85/+PMX6$.RL>;ۇ|?GV؝WkD@'S970gE𵴗 PƺE*;`(=dzHyCHO +A (DJ;0&HKXà6tPDş,&e,xB-,2L}Uޗr+9A9ҝl|_@R&":SF>Bƶ{&@u~r Cd*/A.r;uğVi@(`\ߓxN`@SbIӍTW4ԐCNõKN#:D#"91NJED*ȾOqtws}+I^K0%J~,s_Q@O&n6`܍j4JWA yɁ߅{Lύch6OiC݄UjƦ^M + +1&vI_1ևNVIHIyN8!ĄrKNT7xD[#Bd}Y+=eOYe `&NՉqK0wBeEJv{^/E +ɿP{;K9?\ HB"OhM_NJC̅c[MzDV[`4u<9yI ` 6vaSVINƈ$H}!j|Lҵ׮S3)(H8r>NpI.%J;9DMSD?M/ OtO뗻mXk=C0ږp ~ uFVL"QjXеC쭮KV.^OL^kJr)-jF \JvnFD!5.~I˜rR_zCN J~^ٖBKmۜŶ qFLW& CGt C Iŝ"ʦy{J'CEnH=DK"ghVDgVyKʖnRiuM^B΂?´K晔W>H5VJʙ uJJU+OB{D2gL*yLoT`F4eXC$&ZKŽ@#Fpv)5ʧFپcڵ'nV/EOL%Q'X48"J,mT\"ѡmL>M]:DUJVn{G)gř$I4іSӛ\D/w~R[{ +WɗGCiR,$lAG_-y +/B$5\L2.&t'2BE3\CNPgWq^xHױXи@[i;z;kI]qLo8rb +N߼X+^ܨEN"liin"L{΄mfDBk-|?USL*:^\|橛G5GqK'gJ7Ӈ}#9Hc[Ӳ%a%q~CJƱq*YHÒrr%&(NWlt%HC!tݨ5a*N鉉.6rM&8Sf mjCZj q?aPJ儼&^<{ELG.|1.I6;|=W;Ki`3}Fv#uAZ?OrOBv_" +"{'CTSD8mX_J@5? "U6yLكoBP/_-M*3yR =J"Ctux~Fwz0у>s)pIEJ֛̇Lh]!,먶zJN^lF1rO ǧM GTIg&~E+Ht\R*e3T)>Fπ\25N:B,_=ioA 0ҺcSgH 4@a{ԶnN~ W̥=ଟVE&(70)N&MtpzrFW{ɟTjyI +BXX^` EDm(0|@T1Ek̔Y54iC>km)O's9h3l(CY,`%ӏArN)ÿ/Y +C.^8fIFA*J6*]@H I,-.dYLGкڪ|Fx~i[[BLP\9IġnV!8G)̢ןhRrF Wfz|\SyI%`~mBJfl J<QKZvǙyjKMEHlCF +K@ ;*ۢIQpQg@x7&vR#hXkB[b VDI%83!0@L..ea8A>x_RK!ײhԂB Tr8~D8lozS;E!.D9O ײMD˦B ]}A5,K@y6-H>OHZ(FI4B6ДsMGM| +~6 +sBYm57tc\GK6'-})-M-owCL.!ՙnScJǺfCV^u8фw@ށqwl C,fkB`*slJ&+*YB:^.:)cM݆ڹ5h +Ne 9Z!qN +/뀁] +EvS=>N3>Hu--J`z?5&MZ[{&QSYbFRw:*ziD/T ƮMMɆ+ZIFҠkXoNS5V|LpG8JdS53"DNJ!rNM :|!N=+M?צD̮xdmr@q{{+k=J;ﺡm;EBl۩QAȐCe.,I˿]dPW{NӸHdTa/]o+@/Bu+[UYFĭj8hXرMH+]\ZB&WW8i(nD#wŊ hC>M[$MW@@4wuA~K@2r&ZmOQBg[HHi`LNc#. E ^|Z7 J,΄bӪK9 +]s + +-'E\辚 gfK֢> 6΋#PgNuN>6Zk8G,6 +`B'[`7UMLTT兴RLt`*vvC]w9]L<3Jh'ҞdOf0V(<N@n,@?hzEi~ܳE(pK<%:30BiL ^ +X8LWRЏd{ E x㔠pI&zIa|KhlB_ɑ2 + z|K\c%Crq0: wKД@A bd9Mdk +]vz{DҒg1۪J։윳ג }CH+]hҞ-RIBF}Oǎ;v$'K1 xF +@=K +ZQHJEudK%MTϽR}NALn]C9 &O%g'soB3dpRqBo fL8A*]TM7gDʩ6L̈́adi98i;Lgꯜ&^AVfo@(}ݒGΉ!./"lMjd>-uGёl@L[vQ/LV eT}GDʍSࣔJbx>>1 +NYv}ZkJ +eQOlj OEl +9O&Nr; +lEHeTIQȏM>JkMHPP*8c~]GGM_ (!c2$~EU:l!H$IDžj)݉m@l>0hRŇN-@z2@I6Ҟ<%ApT=aF +1C%`<زedlGe~@kW BzKCK#:UW +F(J9| HO͖>{#HL%d +xOC`PK +!__OBJSTORE__/ProjectNavigatorGui/PK +;_/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData  + +PK +p996__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTblModule|lpc_7seglpc_7seg/lpc_7seg/seven_seg0 - wb_7seg - wb_7seg_behavlpc_periph - wb_lpc_periph (../../rtl/verilog/wb_lpc_periph.v)/lpc_7segxc3s400-4fg456Design UtilitiesDESUT_UCFDESUT_VERILOGGenerate Programming FileImplement DesignSynthesize - XSTUser ConstraintsDESUT_VHDL_ARCHITECTUREPK +__OBJSTORE__/SrcCtrl/PK +"__OBJSTORE__/SrcCtrl/SavedOptions/PK +__OBJSTORE__/xreport/PK +>5__OBJSTORE__/xreport/Gc_RvReportViewer-Current-ModulePK +aR<__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbllpc_7segPK +֞1B__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Factory-Defaultj + + !"#$%&'()*+,-./0123456789:;<=>?@ABC*DEF*GHIJK*LMNOPQRSTUVWXYZPK +D I__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Factory-Default_StrTbl[
Tue, 05 Sep 2006 12:00:00 PST Unknown
PK +/[:__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-lpc7segk + + !"#$%&'()*+,-./0123456789:;<=>?-@ABC+DEF+GHIJK+LMNOPQRSTUVWXYZPK +x +A__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-lpc7seg_StrTbl[
2008-03-03T12:05:53 lpc7seg 2008-03-03T12:05:53
PK +/[;__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-lpc_7segk + + !"#$%&'()*+,-./0123456789:;<=>?-@ABC+DEF+GHIJK+LMNOPQRSTUVWXYZPK +OB__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-lpc_7seg_StrTbl[
2008-03-03T18:16:05 lpc_7seg 2008-03-03T18:16:05
PK + __OBJSTORE__/_ProjRepoInternal_/PK + +__REGISTRY__/PK +__REGISTRY__/bitgen/PK +6..__REGISTRY__/bitgen/regkeysClientMessageOutputFile +_xmsgs/bitgen.xmsgs +s +PK +__REGISTRY__/common/PK +;-4__REGISTRY__/common/regkeysIncrementalMessagingEnabled +false +s +MessageCaptureEnabled +true +s +MessageFilterFile +filter.filter +s +MessageFilteringEnabled +false +s +RunOnce +#/PnAutoRun/Scripts/RunOnce_tcl +s +PK +__REGISTRY__/cpldfit/PK +S//__REGISTRY__/cpldfit/regkeysClientMessageOutputFile +_xmsgs/cpldfit.xmsgs +s +PK +__REGISTRY__/dumpngdio/PK +Nu11__REGISTRY__/dumpngdio/regkeysClientMessageOutputFile +_xmsgs/dumpngdio.xmsgs +s +PK +__REGISTRY__/fuse/PK +!6,,__REGISTRY__/fuse/regkeysClientMessageOutputFile +_xmsgs/fuse.xmsgs +s +PK + __REGISTRY__/HierarchicalDesign/PK +*__REGISTRY__/HierarchicalDesign/HDProject/PK +;1__REGISTRY__/HierarchicalDesign/HDProject/regkeysCommandLine-Map + +s +CommandLine-Ngdbuild +C:\Xilinx91i\bin\nt\ngdbuild.exe -ise C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/lpc_7seg.ise -intstyle ise -dd _ngo -nt timestamp -uc lpc_7seg.ucf -p xc3s400-fg456-4 lpc_7seg.ngc lpc_7seg.ngd +s +CommandLine-Par + +s +CommandLine-Xst + +s +Previous-NGD +lpc_7seg_prev_built.ngd +s +Previous-NGM + +s +Previous-Packed-NCD + +s +Previous-Routed-NCD + +s +PK +'__REGISTRY__/HierarchicalDesign/regkeysPK +__REGISTRY__/hprep6/PK +a..__REGISTRY__/hprep6/regkeysClientMessageOutputFile +_xmsgs/hprep6.xmsgs +s +PK +__REGISTRY__/idem/PK + ,,__REGISTRY__/idem/regkeysClientMessageOutputFile +_xmsgs/idem.xmsgs +s +PK +__REGISTRY__/map/PK +[++__REGISTRY__/map/regkeysClientMessageOutputFile +_xmsgs/map.xmsgs +s +PK +__REGISTRY__/netgen/PK +e6~..__REGISTRY__/netgen/regkeysClientMessageOutputFile +_xmsgs/netgen.xmsgs +s +PK +__REGISTRY__/ngc2edif/PK +OUś00__REGISTRY__/ngc2edif/regkeysClientMessageOutputFile +_xmsgs/ngc2edif.xmsgs +s +PK +__REGISTRY__/ngcbuild/PK +E00__REGISTRY__/ngcbuild/regkeysClientMessageOutputFile +_xmsgs/ngcbuild.xmsgs +s +PK +__REGISTRY__/ngdbuild/PK +Jx00__REGISTRY__/ngdbuild/regkeysClientMessageOutputFile +_xmsgs/ngdbuild.xmsgs +s +PK +__REGISTRY__/par/PK +++__REGISTRY__/par/regkeysClientMessageOutputFile +_xmsgs/par.xmsgs +s +PK +__REGISTRY__/ProjectNavigator/PK +]!(&&%__REGISTRY__/ProjectNavigator/regkeysISE_VERSION_LAST_SAVED_WITH +9.1.03i +s +PK +!__REGISTRY__/ProjectNavigatorGui/PK +(__REGISTRY__/ProjectNavigatorGui/regkeysPK +__REGISTRY__/runner/PK + p7..__REGISTRY__/runner/regkeysClientMessageOutputFile +_xmsgs/runner.xmsgs +s +PK +__REGISTRY__/SrcCtrl/PK +__REGISTRY__/SrcCtrl/regkeysPK +__REGISTRY__/taengine/PK +00__REGISTRY__/taengine/regkeysClientMessageOutputFile +_xmsgs/taengine.xmsgs +s +PK +__REGISTRY__/trce/PK + +,,__REGISTRY__/trce/regkeysClientMessageOutputFile +_xmsgs/trce.xmsgs +s +PK +__REGISTRY__/tsim/PK +\-`,,__REGISTRY__/tsim/regkeysClientMessageOutputFile +_xmsgs/tsim.xmsgs +s +PK +__REGISTRY__/vhpcomp/PK +Di//__REGISTRY__/vhpcomp/regkeysClientMessageOutputFile +_xmsgs/vhpcomp.xmsgs +s +PK +__REGISTRY__/vlogcomp/PK +]00__REGISTRY__/vlogcomp/regkeysClientMessageOutputFile +_xmsgs/vlogcomp.xmsgs +s +PK +__REGISTRY__/xreport/PK +__REGISTRY__/xreport/regkeysPK +__REGISTRY__/XSLTProcess/PK +q33 __REGISTRY__/XSLTProcess/regkeysClientMessageOutputFile +_xmsgs/XSLTProcess.xmsgs +s +PK +__REGISTRY__/xst/PK +++__REGISTRY__/xst/regkeysClientMessageOutputFile +_xmsgs/xst.xmsgs +s +PK + __REGISTRY__/_ProjRepoInternal_/PK +M'__REGISTRY__/_ProjRepoInternal_/regkeysISE_VERSION_CREATED_WITH +9.1.03i +s +ISE_VERSION_LAST_SAVED_WITH +9.1.03i +s +LastRepoDir +C:\hharte\work\HarteTec\cores\wb_lpc\examples\lpc_7seg\ +s +OBJSTORE_VERSION +1.3 +s +REGISTRY_VERSION +1.1 +s +REPOSITORY_VERSION +1.1 +s +PK +Ñ>,versionREPOSITORY_VERSION +1.1 +REGISTRY_VERSION +1.1 +OBJSTORE_VERSION +1.3 +ISE_VERSION_CREATED_WITH +9.1.03i +ISE_VERSION_LAST_SAVED_WITH +9.1.03i +PK \ No newline at end of file
trunk/examples/lpc_7seg/lpc_7seg.ise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg/top_lpc_7seg.v =================================================================== --- trunk/examples/lpc_7seg/top_lpc_7seg.v (nonexistent) +++ trunk/examples/lpc_7seg/top_lpc_7seg.v (revision 7) @@ -0,0 +1,122 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// $Id: top_lpc_7seg.v,v 1.1 2008-03-05 05:58:39 hharte Exp $ //// +//// top_lpc_7seg.v - LPC Peripheral to 7-Segment Display for //// +//// Enterpoint Raggedstone1 card. //// +//// //// +//// This file is part of the Wishbone LPC Bridge project //// +//// http://www.opencores.org/projects/wb_lpc/ //// +//// //// +//// Author: //// +//// - Howard M. Harte (hharte@opencores.org) //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Howard M. Harte //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +module lpc_7seg +( + RST, // Active Low (From PCI bus) + DISP_SEL, + DISP_LED, + + LPC_CLK, + LFRAME, + LAD, + LAD_OE +); + +input RST ; + +output [3:0] DISP_SEL ; +output [6:0] DISP_LED ; + +input LPC_CLK; +input LFRAME; +inout [3:0] LAD; +output LAD_OE; + +wire [2:0] dma_chan_i = 3'b000; +wire dma_tc_i = 1'b0; +wire [3:0] lad_i; +wire [3:0] lad_o; +wire periph_lad_oe; + +assign LAD = (periph_lad_oe ? lad_o : 4'bzzzz); +assign LAD_OE = periph_lad_oe; + +wire [24:0] wb_adr_o; +wire [31:0] wb_dat_i; +wire [31:0] wb_dat_o; +wire [3:0] wb_sel_o; +wire wb_we_o; +wire wb_stb_o; +wire wb_cyc_o; +wire wb_ack_i; +wire wb_rty_i; +wire wb_err_i; +wire wb_int_i; + +// Instantiate the module +wb_lpc_periph lpc_periph ( + .clk_i(LPC_CLK), + .nrst_i(RST), + .wbm_adr_o(wb_adr_o), + .wbm_dat_o(wb_dat_o), + .wbm_dat_i(wb_dat_i), + .wbm_sel_o(wb_sel_o), + .wbm_tga_o(wb_tga_o), + .wbm_we_o(wb_we_o), + .wbm_stb_o(wb_stb_o), + .wbm_cyc_o(wb_cyc_o), + .wbm_ack_i(wb_ack_i), + .dma_chan_o(dma_chan_i), + .dma_tc_o(dma_tc_i), + .lframe_i(~LFRAME), + .lad_i(LAD), + .lad_o(lad_o), + .lad_oe(periph_lad_oe) + ); + +// Instantiate the 7-Segment module +wb_7seg seven_seg0 ( + .clk_i(LPC_CLK), + .nrst_i(RST), + .wb_adr_i(wb_adr_o), + .wb_dat_o(wb_dat_i), + .wb_dat_i(wb_dat_o), + .wb_sel_i(wb_sel_o), + .wb_we_i(wb_we_o), + .wb_stb_i(wb_stb_o), + .wb_cyc_i(wb_cyc_o), + .wb_ack_o(wb_ack_i), + .wb_err_o(wb_err_i), + .wb_int_o(wb_int_i), + .DISP_SEL(DISP_SEL), + .DISP_LED(DISP_LED) + ); + +endmodule
trunk/examples/lpc_7seg/top_lpc_7seg.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg/disp_dec.vhd =================================================================== --- trunk/examples/lpc_7seg/disp_dec.vhd (nonexistent) +++ trunk/examples/lpc_7seg/disp_dec.vhd (revision 7) @@ -0,0 +1,83 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:07:18 01/02/06 +-- Design Name: +-- Module Name: dip_dec - Behavioral +-- Project Name: +-- Target Device: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity disp_dec is +port ( + disp_dec_in : in std_logic_vector(3 downto 0); + disp_dec_out : out std_logic_vector(6 downto 0) + ); +end disp_dec; + + +architecture disp_dec_behave of disp_dec is + +begin + + +process (disp_dec_in) +begin + case disp_dec_in is + when "0000" => + disp_dec_out <= "1000000"; + when "0001" => + disp_dec_out <= "1111001"; + when "0010" => + disp_dec_out <= "0100100"; + when "0011" => + disp_dec_out <= "0110000"; + when "0100" => + disp_dec_out <= "0011001"; + when "0101" => + disp_dec_out <= "0010010"; + when "0110" => + disp_dec_out <= "0000010"; + when "0111" => + disp_dec_out <= "1111000"; + when "1000" => + disp_dec_out <= "0000000"; + when "1001" => + disp_dec_out <= "0010000"; + when "1010" => + disp_dec_out <= "0001000"; + when "1011" => + disp_dec_out <= "0000011"; + when "1100" => + disp_dec_out <= "1000110"; + when "1101" => + disp_dec_out <= "0100001"; + when "1110" => + disp_dec_out <= "0000110"; + when "1111" => + disp_dec_out <= "0001110"; + when others => + disp_dec_out <= "1111111"; + end case; +end process; + +end disp_dec_behave; \ No newline at end of file
trunk/examples/lpc_7seg/disp_dec.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg/wb_7seg.vhd =================================================================== --- trunk/examples/lpc_7seg/wb_7seg.vhd (nonexistent) +++ trunk/examples/lpc_7seg/wb_7seg.vhd (revision 7) @@ -0,0 +1,155 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:22:14 12/29/05 +-- Design Name: +-- Module Name: wb_7seg - Behavioral +-- Project Name: +-- Target Device: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + + +entity wb_7seg is + port( + + clk_i : in std_logic; + nrst_i : in std_logic; + wb_adr_i : in std_logic_vector(24 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_dat_i : in std_logic_vector(31 downto 0); + wb_sel_i : in std_logic_vector(3 downto 0); + wb_we_i : in std_logic; + wb_stb_i : in std_logic; + wb_cyc_i : in std_logic; + wb_ack_o : out std_logic; + wb_err_o : out std_logic; + wb_int_o : out std_logic; + DISP_SEL : inout std_logic_vector(3 downto 0); + DISP_LED : out std_logic_vector(6 downto 0) + + ); + +end wb_7seg; + +architecture wb_7seg_behav of wb_7seg is + +component disp_dec +port ( + disp_dec_in : in std_logic_vector(3 downto 0); + disp_dec_out : out std_logic_vector(6 downto 0) + ); +end component; + + + signal data_reg : std_logic_vector(31 downto 0); + signal disp_cnt : std_logic_vector(6 downto 0); + signal disp_data : std_logic_vector(3 downto 0); + signal disp_data_led : std_logic_vector(6 downto 0); + signal disp_pos : std_logic_vector(3 downto 0); + constant DISP_CNT_MAX : std_logic_vector(6 downto 0) := "1111111"; + + + +begin + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + data_reg <= x"10eef00d"; + elsif ( clk_i'event and clk_i = '1' ) then + if ( wb_stb_i = '1' and wb_we_i = '1' ) then + data_reg <= wb_dat_i; + end if; + end if; +end process; + +wb_ack_o <= wb_stb_i; +wb_err_o <= '0'; +wb_int_o <= '0'; +wb_dat_o <= data_reg; + + + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + disp_cnt <= ( others => '0' ); + elsif clk_i'event and clk_i = '1' then + disp_cnt <= disp_cnt + 1; + end if; +end process; + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + disp_pos <= "0001"; + elsif clk_i'event and clk_i = '1' then + if disp_cnt = DISP_CNT_MAX then + disp_pos <= ( + 3 => DISP_SEL(2), 2 => DISP_SEL(1), + 1 => DISP_SEL(0), 0 => DISP_SEL(3) + ); + end if; + end if; +end process; + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + disp_data <= "0000"; + elsif clk_i'event and clk_i = '1' then + case DISP_SEL is + when "1000" => + disp_data <= data_reg(3 downto 0); + when "0100" => + disp_data <= data_reg(7 downto 4); + when "0010" => + disp_data <= data_reg(11 downto 8); + when "0001" => + disp_data <= data_reg(15 downto 12); + when others => + disp_data <= (others => '0'); + end case; + end if; +end process; + + +u1: component disp_dec +port map ( + disp_dec_in => disp_data, + disp_dec_out => disp_data_led + ); + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + DISP_LED <= (others => '0'); + elsif clk_i'event and clk_i = '1' then + DISP_LED <= disp_data_led; + end if; +end process; + +process (clk_i,nrst_i) +begin + if nrst_i = '0' then + DISP_SEL <= (others => '0'); + elsif clk_i'event and clk_i = '1' then + DISP_SEL <= disp_pos; + end if; +end process; + +end wb_7seg_behav;
trunk/examples/lpc_7seg/wb_7seg.vhd Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/lpc_7seg =================================================================== --- trunk/examples/lpc_7seg (nonexistent) +++ trunk/examples/lpc_7seg (revision 7)
trunk/examples/lpc_7seg Property changes : Added: svn:ignore ## -0,0 +1,44 ## +lpc_7seg.stx +lpc_7seg.par +lpc_7seg.restore +lpc_7seg.unroutes +lpc_7seg.ngr +lpc_7seg_map.mrp +lpc_7seg.lso +timing.twr +lpc_7seg.bld +lpc_7seg_map.ncd +lpc_7seg_pad.txt +lpc_7seg.cmd_log +lpc_7seg.ise_ISE_Backup +lpc_7seg.drc +lpc_7seg_map.map +lpc_7seg.twr +lpc_7seg.syr +lpc_7seg_summary.xml +lpc_7seg.xst +lpc_7seg_map.ngm +lpc_7seg_pad.csv +_ngo +lpc_7seg_guide.ncd +lpc_7seg.lfp +lpc_7seg_summary.html +_pace.ucf +lpc_7seg.twx +lpc_7seg_usage.xml +lpc_7seg.ut +lpc_7seg.prj +_xmsgs +lpc_7seg.xpi +lpc_7seg_prev_built.ngd +__ISE_repository_lpc_7seg.ise_.lock +lpc_7seg.pad +lpc_7seg.ncd +lpc_7seg.bgn +lpc_7seg.ngc +lpc_7seg.ntrc_log +lpc_7seg.pcf +lpc_7seg.ngd +lpc_7seg_vhdl.prj +xst +.svn Index: trunk/examples/pci_lpc/pci_lpc_host.bit =================================================================== --- trunk/examples/pci_lpc/pci_lpc_host.bit (nonexistent) +++ trunk/examples/pci_lpc/pci_lpc_host.bit (revision 7) @@ -0,0 +1,109 @@ + apci_lpc_host.ncdb 3s400fg456c 2008/03/04d 15:14:59e=Uf00`D0 @?0A00 0 00@P@@@c0 W0aH0aH0 W  @&d@&d@ @@@@ @@ + +p0 0 @    PP0pP + 0A PP 0  Q` @ + 0 @ @ i0 Q`?̯ @DA3 +@ 00  +  +P +` @ 0 @ 0  Q``A@@$ @0p0 @@@@@  30 Q`  0@.  (@ p +P +Ѐ  `@@ 0  Q`@( "@0@ 8yP 000`0@0 0 @`?  @ B @@ DP (F$PC0 @@@@@00 +0P0 1 @@00 0 ~0$p$p0 Q ʿ0 I$ ("1Ye@p@@@@2)@T@H4@ €@-XA0! @0@ @P`* 0 @ + 000 00  000 @@00  @@  3if000 000$p00000  $@$@$@Q`_lcA5i_0 +(!D(3$ $  C#@   (  @@ `PR ň( +8:@@@@ ( @@B0P@`@@06@1 @Fd66 P@0$X@ @D +$@@ @@@@@9  pp00 rP +P + *00|*j0<00p0 + +  @  @@00 @  #?70000$p0$p00 @`?iw &     "@@ @DEFp h@@@  8 ($0H@(H !@ H@@`0M'&pfJA* @!2$)!!@@P (D P@@ +01@0@P  +0zPuP 2   8,|< 00P00B +PP0 +00@@  @  + A  ̀00 0 00$p0$p0$p0 $x 0xx 00 $@$@ $@HQůi0 `@ 0&( IQ         @"""D@"D#@@ A @ @ +9XV\ hH0Pp&G!L0 88 @ " B!  "(0B!LQ +!E` +0 0p@ C`X (X! @"@P @!@@""@@ @  @0`p` + 2P +N@ +  ` + <zp <<08pp0,0< 0 000  @ @@! 0 + Ȉ "wĨ!?_?{ު'$p0xx00x0 $x00$p000x000 0xx$@@ $HHi*0 k + $($("       D D"GFb cb"@@@     @! p G E `E +X0 @@ + + +p& @(0@Lc&@@@( A @@g @ +@  @$Q@ +!` `qGcU @(@ G` @  (K(` p@0g$ "01 )  + $DH) @T@   "  D! m0<P }  +p  + u`v ` Pp` +6 00:x0P<>x0< ,8X 0P 0 + +x  < P @ 0 @ `@  + 0 @Z@p<Ó9U0000x0 $x$p$p$p$p H_o d(0 +& $     P 0 @% +B`Hp(%  @H0@GrAO.0<@``-PH@@ c b <@`0'(@h0 "0$* @! "I @B B$ @0 +` 00 }:` -|\`0 pR8 +>  + pP` <68 +<80aX  P<80 0@ @ 0  + 0 @ U|p*##300 0 00 0080$p $@@ 0d  P     @  D@   H0D3׮thq@/(@@@p@j"^@@@ @ % H @hA 1'N@ !Y0؀h.A*I8  B8:\@  (  0@``@p0 +p:< + +M` + + +p +p00P +   +,0` + 0    0   +@! a i00x00/0` P " +@DD  (BQ@ @ G''(0 (<Pp(` pAq" +@  QA "@0 P     4 +P `<@ =Hy z + +PP   l0 800*0 P  010 @ 0 0 +A  0@K322000 000xxHH`}@@ ݯ"E@(    " GF@D  @@!02`@r1/@ Pe 't + ` @@@"T|`0c + +A@@p+ @pP%@,BQ @ $ @"(  B" P@}p}P + + + +\ l 4 +0 +       0 p@@ @@    0 <<000`i} @@ "h Pr@0`W@X 0"@!4B0 @1 $}0@0P< + +\p pp0<01@0 o Ϫ000 $p0 $x$pp$p H $HH$@`Q`( (s 4 @   D@#@Gc @@  @@!:8 @#A (AP +0 6@4"": 0 0 q0p p  + 0Pp0 ``b80 `0 @ p!@  0   0 @ @@R@ 0`  ! 0`p  + + +00<0@ 0@0 `Q` @@0 @0!  @ P$ P +q +0 +0p 0  @ 00ek|000 Q`>  Ee A! @@͖@5F@0E `` + +0`00 @@0 010 @  Q` @   0@P000 @ 0 Q`  +@ @@ + h +@@}p0 + + +    `Q` "@  @  @@p +0000   0  +  @@ +  00 + + 0 @`"@  ` +$ @ 0  A  +@ +  +  00 p   60 +0 000_W0 + \ No newline at end of file
trunk/examples/pci_lpc/pci_lpc_host.bit Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/pci_lpc/pci_lpc.ucf =================================================================== --- trunk/examples/pci_lpc/pci_lpc.ucf (nonexistent) +++ trunk/examples/pci_lpc/pci_lpc.ucf (revision 7) @@ -0,0 +1,80 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "CBE0" LOC = "F9" | IOSTANDARD = PCI33_3 ; +NET "CBE1" LOC = "C10" | IOSTANDARD = PCI33_3 ; +NET "CBE2" LOC = "D13" | IOSTANDARD = PCI33_3 ; +NET "CBE3" LOC = "E13" | IOSTANDARD = PCI33_3 ; +NET "CLK" LOC = "A11" | IOSTANDARD = PCI33_3 ; +NET "DEVSEL" LOC = "E12" | IOSTANDARD = PCI33_3 ; +NET "DISP_LED<0>" LOC = "AB20" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<1>" LOC = "AA20" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<2>" LOC = "V18" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<3>" LOC = "Y17" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<4>" LOC = "AB18" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<5>" LOC = "AA18" | IOSTANDARD = LVCMOS33 ; +NET "DISP_LED<6>" LOC = "W18" | IOSTANDARD = LVCMOS33 ; +NET "DISP_SEL<0>" LOC = "AA17" | IOSTANDARD = LVCMOS33 ; +NET "DISP_SEL<1>" LOC = "U17" | IOSTANDARD = LVCMOS33 ; +NET "DISP_SEL<2>" LOC = "U16" | IOSTANDARD = LVCMOS33 ; +NET "DISP_SEL<3>" LOC = "U14" | IOSTANDARD = LVCMOS33 ; +NET "FRAME" LOC = "C13" | IOSTANDARD = PCI33_3 ; +NET "GNT" LOC = "D18" | IOSTANDARD = PCI33_3 ; +NET "IDSEL" LOC = "D14" | IOSTANDARD = PCI33_3 ; +NET "INTA" LOC = "B19" | IOSTANDARD = PCI33_3 ; +NET "IRDY" LOC = "A13" | IOSTANDARD = PCI33_3 ; +NET "LAD<0>" LOC = "V5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ; +NET "LAD<1>" LOC = "U5" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ; +NET "LAD<2>" LOC = "V2" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ; +NET "LAD<3>" LOC = "V1" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | IOBDELAY = BOTH | KEEPER ; + +NET "LAD_OE" LOC = "T5" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 12 ; +NET "LFRAME" LOC = "W2" | IOSTANDARD = LVCMOS33 | SLEW = SLOW ; +NET "LPC_CLK" LOC = "W1" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 12 ; +NET "LPC_INT" LOC = "T4" | IOSTANDARD = LVCMOS33 | PULLUP ; +NET "PAR" LOC = "A9" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<0>" LOC = "A5" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<10>" LOC = "E9" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<11>" LOC = "F11" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<12>" LOC = "E10" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<13>" LOC = "A8" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<14>" LOC = "B9" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<15>" LOC = "B10" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<16>" LOC = "F17" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<17>" LOC = "F16" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<18>" LOC = "A14" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<19>" LOC = "B14" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<1>" LOC = "B5" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<20>" LOC = "B15" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<21>" LOC = "A15" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<22>" LOC = "F12" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<23>" LOC = "F13" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<24>" LOC = "D15" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<25>" LOC = "E15" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<26>" LOC = "D17" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<27>" LOC = "C17" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<28>" LOC = "B17" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<29>" LOC = "E17" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<2>" LOC = "E6" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<30>" LOC = "A18" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<31>" LOC = "B18" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<3>" LOC = "D6" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<4>" LOC = "C6" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<5>" LOC = "B6" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<6>" LOC = "D7" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<7>" LOC = "E7" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<8>" LOC = "B8" | IOSTANDARD = PCI33_3 ; +NET "PCI_AD<9>" LOC = "F10" | IOSTANDARD = PCI33_3 ; +NET "PERR" LOC = "D12" | IOSTANDARD = PCI33_3 ; +NET "PREVENT_STRIPPING_OF_UNUSED_INPUTS" LOC = "AA4" | IOSTANDARD = LVCMOS33 ; +NET "REQ" LOC = "C18" | IOSTANDARD = PCI33_3 ; +NET "RST" LOC = "A19" | IOSTANDARD = PCI33_3 ; +NET "SERR" LOC = "B12" | IOSTANDARD = PCI33_3 ; +NET "STOP" LOC = "A12" | IOSTANDARD = PCI33_3 ; +NET "TRDY" LOC = "B13" | IOSTANDARD = PCI33_3 ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE
trunk/examples/pci_lpc/pci_lpc.ucf Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/pci_lpc/pci_lpc.ise =================================================================== --- trunk/examples/pci_lpc/pci_lpc.ise (nonexistent) +++ trunk/examples/pci_lpc/pci_lpc.ise (revision 7) @@ -0,0 +1,6823 @@ +PK + +__OBJSTORE__/PK +__OBJSTORE__/common/PK +'__OBJSTORE__/common/HierarchicalDesign/PK +T†~~0__OBJSTORE__/common/HierarchicalDesign/HDProject PK +Pff7__OBJSTORE__/common/HierarchicalDesign/HDProject_StrTbl +14/pci_lpc_hostTS_EXPANDEDTS_FRAGCOVEREDTS_PACKEDTS_ROUTEDTS_SYNTHESISpci_lpc_hostPK +";<<+__OBJSTORE__/common/__stored_object_table__(:PK + __OBJSTORE__/HierarchicalDesign/PK +__OBJSTORE__/PnAutoRun/PK +__OBJSTORE__/PnAutoRun/Scripts/PK +>*__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tclPK +髭1__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTblnamespace eval xilinx { +namespace eval Dpm { +proc GetIseVersion {} { + set fsetName "fileset.txt" + set fsetPath "" + # Find the file in the Xilinx environment. + # First, construct the environment path. + set sep ":"; # Default to UNIX style seperator. + if {[string compare -length 7 $::tcl_platform(platform) "windows"] == 0} { + set sep ";"; # Platform is a Windows variant, so use semi-colon. + } + set xilinxPath $::env(XILINX) + if [info exists ::env(MYXILINX)] then { + set xilinxPath [join [list $::env(MYXILINX) $xilinxPath] $sep] + } + # Now look in each path of the path until we find a match. + foreach xilElem [split $xilinxPath $sep] { + set checkPath ${xilElem}/$fsetName + set checkPath [ string map { \\ / } $checkPath ] + if { [file exists $checkPath] } { + set fsetPath $checkPath + break + } + } + if { [string equal $fsetPath ""] } { + puts "ERROR: Can not determine the ISE software version." + return "" + } + if { [catch { open $fsetPath r } fset] } { + puts "ERROR: Could not open $fsetPath: $fset" + return "" + } + # have the file open, scan for the version entry. + set sVersion "" + while { ![eof $fset] } { + set line [gets $fset] + regexp {version=(.*)} $line match sVersion + # The above doesn't stop looking in the file. This assumes that if + # there are multiple version entries, the last one is the one we want. + } + close $fset + return $sVersion +} +proc CheckForIron {project_name} { + + # Determine if the currently running version of ProjNav is earlier than Jade. + set version [GetIseVersion] + set dotLocation [string first "." $version] + set versionBase [string range $version 0 [expr {$dotLocation - 1}]] + if {$versionBase < 9} { + + # The project file is newer than Iron, so take action to prevent the + # file from being corrupted. + # Make the file read-only. + if {[string compare -length 7 $::tcl_platform(platform) "windows"]} { + # The above will return 0 for a match to "windows" or "windows64". + # This is the non-zero part of the if, for lin and sol. + # Change the permissions to turn off writability. + file attributes $project_name -permissions a-w + } else { + # On Windows, set file to read-only. + file attributes $project_name -readonly 1 + } + + # And tell the user about it. + set messageText "WARNING: This project was last saved with a newer version of Project Navigator.\nThe project file will be made read-only so that it will not be invalidated by this version." + # In the console window + puts $messageText + # And with a GUI message box if possible. + ::xilinx::Dpm::TOE::loadGuiLibraries + set iInterface 0 + set messageDisplay 0 + if {[catch { + set iInterface [Xilinx::CitP::GetInstance $::xilinx::GuiI::IMessageDlgID] + set messageDisplay [$iInterface GetInterface $::xilinx::GuiI::IMessageDlgID] + if {$messageDisplay != 0} { + # Managed to get a component to display a dialog, so use it + set messageTitle "Incompatible Project Version (Newer)" + set messageType 2 + # 2 corresponds to a warning dialog. TclWrapGuiI_Init.cpp doesn't put the enum into Tcl. + set messageTimeout 300000 + # in milliseconds, 5 minutes + set messageReturn [$messageDisplay MessageDlg $messageTitle $messageText $messageType 1 1 $messageTimeout "OK" "" ""] + } + } catchResult]} { + # There was an error, probably because we aren't in a GUI enviroment. + } else { + # All is well. + } + set messageDisplay 0 + set iInterface 0 + } + + return 1 +} +} +} +::xilinx::Dpm::CheckForIronPK +__OBJSTORE__/ProjectNavigator/PK +/__OBJSTORE__/ProjectNavigator/dpm_project_main/PK +dVV?__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_mainEBPK +_S77F__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTblpci_lpcacr2spartan3virtex2pxbrxc9500xlPK + d70__OBJSTORE__/ProjectNavigator/__stored_objects__ + + +p !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~4 + +4w|qponmlr  +   +F +E 1svtuy{zx~}q.-$CBA@ji>hg<;:9876543210/ba`&%_^]\[ZXVUTSRQPOMKJIHGFED|{zyxwvutsrqponmlk#fe+dc)  +   +~}   +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~  +   + !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~           +   +                    ! " # $ % & ' ( ) * + , - . / 0 1 2 3 4 5 6 7 8 9 : ; < = > ? @ A B C D E F G H I J K L M N O P Q R S T U V W X Y Z [ \ ] ^ _ ` a b c d e f g h i j k l m n o p q r s t u v w x y z { | } ~                                                                                                                                  + + + + + + + + + +  + + +  +  + + + + + + + + + + + + + + + + + + + + +  +! +" +# +$ +% +& +' +( +) +* ++ +, +- +. +/ +0 +1 +2 +3 +4 +5 +6 +7 +8 +9!"#$%&'()*+,-./0123456789:;<=>? @ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~ -  +   +. !"#$%&'()*+,-./0123456789:;<=>?@A. !"#$%&'()*+,-./0123456789:;<=>?BC.DEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopq!r +:s +;t +<u~Z82oMsv\wxq\0X.xDyLS+pz,~{)vG|=}<6q~?uOCTCWG-!15F1@9:J BAX"@ whdwiff|EDPjbZ4H&B IAlyUc;; +)EuIz +lKMo|*N{y`s^('nz/> + 0 3`72= QO}K$+9J4^ += +> += +=GQL( += +> += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += +? +@ += +> +? += +?GQa` +? +@ +@ +AGQa` +A +B +C +DGQ + +D +E +F +G +H +I +J +K +L +M +N +O +P +Q +R +S +T +C +U +V +W +X +Y +Z +[ +\ +B +@ +? +@ += +> +? += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += +? +@ += +> +? += += +> += +? +@ += +> +? += += +> += +? +@ += +> +] +? += +] +]GQb +] +? +@ += +> +] +? += +] += +> += +? +@ += +> +? += += +> += += +> += +? +@ += +> +? += += +> += +? +@ += +> +? += += +> += +? +@ += +> +? += +? +@ += +> +? += += +> += += +> += +? +@ += +> +? += +? +@ += +> +? += += +> += +? +@ += +> +] +? += +] +? +@ += +> +? += += +> += += +> += += +> += +? +@ += +> +] +? += +] += +> += += +> += +^ +_ +` +a +b +^ +c +_ +c +` +c +a +c +b +c += +> += += +> += += +> += +? +@ += +> +] +? += +] +? +@ += +> +? += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += +? +@ += +> +? += += +> += +? +@ += +> +] +? += +] += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> += += +> +? +@ += +> +] +? +d += +> +] +eG_xmsgs/bitgen.xmsgs +_ +ftg< +gG@ +h +g +h +h +i +ug< +gG@ +h +g +h +h +i +rg< +gG@ +h +g +h +h +i + +jGpci_lpc_host.bgn +_ +ktg< +lG@@ +m +l +m +m +n +$ug< +lG@@ +m +l +m +m +n +rg< +lG@@ +m +l +m +m +n + +oGpci_lpc_host.bit +_ +ptg< +qG (@ +r +q +r +r +s +$ug< +qG (@ +r +q +r +r +s +rg< +qG (@ +r +q +r +r +s + +tGpci_lpc_host.drc +_ +utg< +vGА@ +w +v +w +w +x +$ug< +vGА@ +w +v +w +w +x +rg< +vG@ +w +v +w +w +x + +yG_xmsgs/trce.xmsgs +_  +f +tg< +z +G@ +{ +z +{ +{ +i + +  +ug< +z +G@ +{ +z +{ +{ +i + +rg< +zG@ +{ +z +{ +{ +i + +|Gpci_lpc_host.twx +_ +}tg< +~G@ + +~ + + + +ug< +~G@ + +~ + + + +8rg< +~G@ + +~ + + + + !"# +Gpci_lpc_host.twr +_$% +&tg< +&G@# + +' + +( + +&)ug< +G@" + +* + +( + +8+,rg< +,G@! + +- + +( + +,./01 +G_xmsgs/par.xmsgs +_2 +f3tg< +3G +@1 + +4 + + +i +356ug< +6G +@0 + +7 + + +i +689rg< +9G +@/ + +: + + +i +9;<=> +Gpci_lpc_host_pad.csv +_?@ +Atg< +AG@> + +B + +C + +ADug< +G@= + +E + +C + +#FGrg< +GG@< + +H + +C + +GIJKL +Gpci_lpc_host_pad.txt +_MN +Otg< +OG۸@L + +P + +Q + +ORST +PUG8ROVW + +G8X +ug< +G۸@K + +Y + +Q + +Z#[T +Y\G8ZVW + +G8X +]rg< +]G۸@J + +^ + +Q + +]_`T +^aG۸_]VW + +G8X +bcd +Gpci_lpc_host.xpi +_ef +gtg< +gG@d + +h + +i + +gjkug< +kG@c + +l + +i + +kmnrg< +nG@b + +o + +i + +npqrs +Gpci_lpc_host.unroutes +_tu +vtg< +vG@s + +w + +x + +vyug< +G@r + +z + +x + +#{|rg< +|G@q + +} + +x + +|~ +Gpci_lpc_host.par +_ +tg< +G@@ + + + + + + +G + +G +ug< +G@@ + + + + + +# +G + +G +rg< +G@@ + + + + + + +G + +G + +Gpci_lpc_host.pad +_ +tg< +GА@ + + + + + +ug< +GА@ + + + + + +rg< +GА@ + + + + + + +Gpci_lpc_host.ncd + +tg< +Gx  + + + + +ug< +Gx  + + + + +#rg< +Gx  + + + + + +Gpci_lpc_host_usage.xml +_ +tg< +Gj@ + + + + + +ug< +Gj@ + + + + + +rg< +Gj@ + + + + + + +G_xmsgs/map.xmsgs +_ +ftg< +GkH@ + + + + +i +ug< +GkH@ + + + + +i +rg< +GkH@ + + + + +i + +Gpci_lpc_host_map.ngm + +tg< +G:  + + + + +ug< +G:  + + + + +" +rg< +G:  + + + + + +Gpci_lpc_host.pcf +_ +tg< +GL(@ + + + + + +ug< +GL(@ + + + + + +"rg< +GL(@ + + + + + + +Gpci_lpc_host_map.mrp +_ +tg< +G +@ + + + + + +ug< +G +@ + + + + + +"rg< +G +Y@ + + + + + + +Gpci_lpc_host_map.ncd + +tg< +G `  + + + + +ug< +G `  + + + + +"rg< +G `  + + + + +  + +G_xmsgs/ngdbuild.xmsgs +_  +f tg< + G `@ + + + + + + +i + ug< +G `@ + + + + +i +rg< +G `@ + + + + +i + +G_ngo +_ +tg< +G q@ + + + + + +ug< +G q@ + + + + + + !rg< +!G q@ + +" + + + +!#$%& +G_ngo/netlist.lst +_'( +)tg< +)G +@& + +* + ++ + +),ug< +G +@% + +- + ++ + +!./rg< +/G +@$ + +0 + ++ + +/1234 +Gpci_lpc_host.bld +_56 +7tg< +7G +{@4 + +8 + +9 + +7:ug< +G +=@3 + +; + +9 + +!<=rg< +=G +=@2 + +> + +9 + +=?@AB +Gpci_lpc_host.ngd +CD +Etg< +EG h B + +FG +H + +EIug< +G h A + +FJ +H + +!KL +rg< +LG h @ + +FM +H + +LNOPQ +G_xmsgs/xst.xmsgs +_R +fStg< +SG +@Q + +T + + +i +SUVug< +VG +@P + +W + + +i +VXYrg< +YG +@O + +Z + + +i +Y[\]^ +Gpci_lpc_host.cmd_log +__` +atg< +aGja`@^ + +b + +c + +adeug< +eGja`@] + +f + +c + +eghrg< +hGja`@\ + +i + +c + +hjklm +Gxst +_n +otg< +oG c@m + +p + + + +oqrug< +rG c@l + +s + + + +rturg< +uG c@k + +v + + + +uwxyz +Gpci_lpc_host.ngr +{| +}tg< +}G z + +~ + + +}ug< +G y + +~ + + +  +rg< +G x + +~ + + + +Gpci_lpc_host.ngc + +tg< +G +  + + + + +ug< +G +  + + + + +  +rg< +G +  + + + + + +Gpci_lpc_host_vhdl.prj +_ +tg< +G +@ + + + + + +ug< +G +@ + + + + + + rg< +G +@ + + + + + + +Gpci_lpc_host.prj +_ +tg< +G@ + + + + + +ug< +G@ + + + + + + rg< +G@ + + + + + + +Gpci_lpc_host.syr +_ +tg< +G @ + + + + + +ug< +Gb@ + + + + + + rg< +Gb@ + + + + + + Gpci_lpc_host.lso +_ tg< G1@      +ug< G1@      + rg< G1@      + Gpci_lpc_host.xst +_ tg< GzX@     +ug< GzX@     + rg< GzX@     + +Gpci_lpc_host.stx +_ tg< GI@ +  + +  +ug< GI@ +  + +  + rg< GI@ +  + +  + G̲PC:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/wb_7seg.vhd +b rg<       G͉"  0    +G̬h     G͉"     +G̬h     !G̬h  "   # !G̬h  $   % !G̬h   tg<       G͉"  0    +G̬h     G͉"     +G̬h     !G̬h  "   # !G̬h  $   % !G̬h    POug< O  + P     G͉" +  0    +O  +G̬h O    G͉"     +P +G̬h     !G̬h  "   # !G̬h  $   % !G̬h    &D@aC:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/disp_dec.vhd +b rg< ' (    G̬h ) '& )   +G̬h  (   +G̬hx    !G̬hx "   # !G̬hx $   % !G̬hx    !tg< ' (    !G̬hx ) '&" )   +#G̬hx  ($   +%G̬hx    !G̬hx "   # !G̬hx $   % !G̬hx   &'()TSug< 'S (T & ' ( )G̬hx ) '&* )   +S+ +,G̬hx  (-   +T. +/G̬hx    !G̬hx "   # !G̬hx $   % !G̬hx   012 *Gpci_lpc_host_guide.ncd +3 +4tg< +4GH 2 + +5 + + +467rg< +7GH 1 + +8 + + +79:ug< +:GH 0 + +; + + +:<=>? +Gӯtop_pci_lpc_host.v +a@A , tg< -B .C /D + G֛۸ ?CBD + +E*F +G 0 + HGS 1 /I 1 GS 2 -I 2 3GS 4 .I 4 5rg< -J .K /L +G֛۸ >KJL + +E*M +G 0 +NGS 1 /I 1 GS 2 -I 2 3GS 4 .I 4 5Uug< -O .P /Q +UG֛۸ =POQ + +E*R +G 0 +US +TGSU 1 /I 1 GSU 2 -I 2 3GSU 4 .I 4 5UVW 6GGC:/hharte/work/HarteTec/cores/wb_lpc/rtl/verilog/wb_lpc_host.v +aXA ,!tg< 7!G֛۸ W 3 7E6Y 3G 0 +!ZVug< 7VG֛۸ V 3 7E6[ 3G 0 +V\ +]rg< 7G֛۸ U 3 7E6^ 3G 0 +_`ab 8D@bC:/hharte/work/HarteTec/cores/pci32tlite/rtl/sync.vhd +bc de('tg< 9' :( d ;eGR +Yb < 9Wf < =  +'gGR +Yb = :Nh =  +(iGR +Yb > ;K ? @ !GR +Y b ? JjkRQug< 9Q :R j ;kGR +Ya < 9Wl < =  +Qm +nGR +Ya = :No =  +Rp +qGR +Ya > ;K ? @ !GR +Y a ? Jrsrg< 9 : r ;sGR +Y` < 9Wt < =  +uGR +Y` = :Nv =  +wGR +Y` > ;K ? @ !GR +Y ` ? Jxyz AD@[C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pfs.vhd +b{ |}#"tg< B" C# | ;}GR Hz < B~ < D  +"GR Hz D C  D  +#GR Hz > ; ? @ !GR H z ? XWug< BW CX  ;GR Hy < B < D  +W +GR Hy D C  D  +X +GR Hy > ; ? @ !GR H y ? rg< B C  ;GR Hx < B < D  +GR Hx D C  D  +GR Hx > ; ? @ !GR H x ?  EF(C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pciwbsequ.vhd +b  + +&tg< F G H&  ; IGR +{ < Fa < J  +GR +{ K G K LGR +{ J H8 J  +&GR +{ M I1 N !GR +{  0GR +{ > ;. ? @ !GR +{  ? - +GFug< FF G HG  ; IGR +{ < Fa < J  +F +GR +{F K G K LGR +{ J H8 J  +G +GR +{ M I1 N !GR +{  0GR +{ > ;. ? @ !GR +{  ? - +rg< F G H  ; IGR +{ < Fa < J  +GR +{ K G K LGR +{ J H8 J  +GR += M I1 N !GR +=  0GR += > ;. ? @ !GR +=  ? - OFtC:/hharte/work/HarteTec/cores/pci32tlite/rtl/pciregs.vhd +b   tg< P Q R S T  ; IGR h < P < U  +GR h V S V DGR h W R W DGR h  Q  DGR h U T U  +GR M I N !GR  GR > ; ? @ !GR  ?  pJug< PJ Q R S Tp  ; IGR h < P < U  +J +GR hJ V S V DGR hJ W R W DGR hJ  Q  DGR h U T U  +p +GR M I N !GR  GR > ; ? @ !GR  ?  rg< P Q R S T  ; IGR h < P < U  +GR V S V DGR W R W DGR  Q  DGR h U T U  +GR M I N !GR  GR > ; ? @ !GR  ?  XFtC:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcipargen.vhd +b tg< Y Z [  ; IGR  < YD < \  +GR   Zj  =GR  \ [8 \  +GR  M I0 N !GR  /GR  > ;- ? @ !GR  ? ,NMug< YM Z [N  ; IGR  < YD < \  +M +GR M  Zj  =GR  \ [8 \  +N +GR  M I0 N !GR  /GR  > ;- ? @ !GR  ? ,rg< Y Z [  ; IGR  < YD < \  +GR   Zj  =GR  \ [8 \  +GR  M I0 N !GR  /GR  > ;- ? @ !GR  ? , ]FdC:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcidmux.vhd +b  + +tg< ^ _  ;GRl < ^O < `  +GRl ` _3 `  +GRl > ;, ? @ !GRl  ? + +LKug< ^K _L  ;GRl < ^O < `  +K +GRl ` _3 `  +L  + +GRl > ;, ? @ !GRl  ? + +  rg< ^ _  ; GRl < ^O + < `  +GRl ` _3 `  +GRl > ;, ? @ !GRl  ? + aFC:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcidec.vhd +b   tg< b c  ;GR; < bK < d  +GR; d c5 d  +GR@ > ;- ? @ !GR@  ? , EDug< bD cE  ;GR@ < bK < d  +D +GR@ d c5 d  +E! +"GR@ > ;- ? @ !GR@  ? , #$rg< b c # ;$GR@ < bK% < d  +&GR@ d c5' d  +(GR@ > ;- ? @ !GR@  ? ,)*+ eGRiC:/hharte/work/HarteTec/cores/pci32tlite/rtl/pci32tlite.vhd +b,   -.%tg< f% g/ h0 i1 j2 k3 l - ;.GR (+/0123 < f4 < 5  +%5GR (% m k m \GR (% n j n UGR (% V iu V `GR (% W hJ W JGR (%  g4  dGR (+ 5 lM6 5  +7GR (+ > ;F ? @ !GR ( + ? E 89IHug< fH g: h; i< j= k> lI 8 ;9GR (*:;<=> < f? < 5  +H@ +AGR (H m k m \GR (H n j n UGR (H V iu V `GR (H W hJ W JGR (H  g4  dGR (* 5 lMB 5  +IC +DGR (* > ;F ? @ !GR ( * ? E EFrg< f gG hH iI jJ kK l E ;FGR ()GHIJK < fL < 5  +MGR ( m k m \GR ( n j n UGR ( V iu V `GR ( W hJ W JGR (  g4  dGR () 5 lMN 5  +OGR () > ;F ? @ !GR ( ) ? EPQR oFdC:/hharte/work/HarteTec/cores/pci32tlite/rtl/onalib.vhd +bS /TU:VW98XY76Z[$5\]43^_21`a0/bc.-de,+fg*)hi?>jk=<tg< p) q< B> r1 s/ t3 u6 v5 w+ x- 98 y* z= C? :9 {4 |2 }0 ~7 $ . , j : ;kGR@R < qAl <   +<mGR@R z7n   +=oGRL(R > ;4 ? @ !GRL( R ? 3GRR < Bp < D  +>qGRR D C +r D  +?sGRL(R > ; + ? @ !GRL( R ?  GRR < pt <   +) uGRR yv   +*wGRL(R > ; ? @ !GRL( R ? GRR < wx <   ++yGRR z   +,{GRL(R > ; ? @ !GRL( R ? GRR < x| <   +-}GRR ~   +.GRL(R > ; ? @ !GRL( R ? GRR < s <   +/GRR }x   +0GRL(R > ;u ? @ !GRL( R ? tGRR < r] <   +1GRR |S   +2GRL(R > ;P ? @ !GRL( R ? OGRR < t; <   +3GRR {2   +4GRL(R > ;/ ? @ !GRL( R ? .GRR < v < L  +5GRR L  L  +$GRL(R > ; + ? @ !GRL( R ?  GRR < u <   +6GRR ~   +7GRL(R > ; ? @ !GRL( R ? GRR < 9 < =  +8GRR = : =  +9GRL(R > ; ? @ !GRL( R ? GR@R N G N +:GRL(R > ;E ? @ !GRL( R ? D/[ZYonmlkjihgfedcba`_^]\ug< p` q\ B^ rh sf tj un vl wb xd 9Y ya z] C_ :Z {k |i }g ~o m e c  [ ;GRQ < qA <   +\ +GRQ z7   +] +GRL(Q > ;4 ? @ !GRL( Q ? 3GRQ < B < D  +^ +GRQ D C + D  +_ +GRL(Q > ; + ? @ !GRL( Q ?  GRQ < p <   +` +GRQ y   +a +GRL(Q > ; ? @ !GRL( Q ? GRQ < w <   +b +GRQ    +c +GRL(Q > ; ? @ !GRL( Q ? GRQ < x <   +d +GRQ    +e +GRL(Q > ; ? @ !GRL( Q ? GRQ < s <   +f +GRQ }x   +g +GRL(Q > ;u ? @ !GRL( Q ? tGRQ < r] <   +h +GRQ |S   +i +GRL(Q > ;P ? @ !GRL( Q ? OGRQ < t; <   +j +GRQ {2   +k +GRL(Q > ;/ ? @ !GRL( Q ? .GRQ < v < L  +l +GRQ L  L  +m +GRL(Q > ; + ? @ !GRL( Q ?  GRQ < u <   +n +GRQ ~   +o +GRL(Q > ; ? @ !GRL( Q ? GRQ < 9 < =  +Y +GRQ = : =  +Z +GRL(Q > ; ? @ !GRL( Q ? GR@Q N G N +[ +GRL(Q > ;E ? @ !GRL( Q ? D/ +   +   +   +rg< p q B r s t u + v w x 9 y z C : + { | } ~      ;GRP < qA <   +GRP z7   +GRL(P > ;4 ? @ !GRL( P ? 3GRP < B < D  +GRP D C + D  +GRL(P > ; + ? @ !GRL( P ?  GRP < p <   +GRP y   +GRL(P > ; ? @ !GRL( P ? GRP < w <   +GRP    + GRL(P > ; ? @ !GRL( P ? GRP < x! <   +"GRP #   +$GRL(P > ; ? @ !GRL( P ? GRP < s% <   +&GRP }x'   +(GRL(P > ;u ? @ !GRL( P ? tGRL(P < r]) <   +*GRL(P |S+   +,GRL(P > ;P ? @ !GRL( P ? OGRL(P < t;- <   +.GRL(P {2/   +0GRL(P > ;/ ? @ !GRL( P ? .GRL(P < v1 < L  +2GRL(P L 3 L  + 4GRL(P > ; + ? @ !GRL( P ?  GRL(P < u5 <   + +6GRL(P ~7   + 8GRL(P > ; ? @ !GRL( P ? GRL(P < 99 < =  + :GRL(P = :; =  + +<GRL(P > ; ? @ !GRL( P ? GRL(@P N G= N +>GRL(P > ;E ? @ !GRL( P ? D?@A G͙pci_lpc.ucf BC ;tg< ;G͙ +Y A DE F +;Gug< G͙ +Y @ DH F + !I +JKrg< KG͙ +Y ? DL F +KM N OPQ WRGSOSTUV G֬ (W  X YZ[O\]^ W_GS[V G֬ (`  a [bc WXdefGS +Ze +G֬ (g ZFhijklmnopqrstuvwxyz{|}~S]TUd +G֬ ( ZV +G֬ (   Z XGSTf G@    XYGSL( +G֬ (  +G֬ ( klmnof G֬ (    YGSkH G֬ (    YGSkH G֬ (    YGSkH G֬ (    Y~GS0T G֬ (     ~GSkHpST  + +G֬ (  +G֬ (   +  ~GS0 +G֬ (    Y}GS0STU G֬ (    + Y|GS0 G֬ (     Y{ GS0 G֬ (!  "  #$ YZ%&GSL(% +G֬ (' (klm)*+,-./0123456789:; G֬ (<  = >?@ABCDEF ZzGGS0BHIJKLM& G֬ (N  O  +BPQ ZyRGS0A& G֬ (S  T AUV ZxWGS0@& G֬ (X  Y @Z[ Zu\GS?]^_`aT& G֬ (b  c ?defgh uwijGSepSTk +i +G֬ (l e\ +G֬ (m  n eopq uvrGSd\ +G֬ (m  s dtuv Z[wxGSL(>w +G֬ (y >kz{T|}~& G֬ (   > [tGSyx G֬ (    [sGSyx G֬ (    [rGSyx G֬ (    [qGSyx G֬ (    [pGSy x G֬ (    [oGS:x G֬ (    [nGS:x G֬ (    [mGS:x G֬ (    [lGS:x G֬ (    [kGS:x G֬ (    [dGS@]_`Tx G֬ (    dhGSpST + +G֬ (  +G֬ (     hiGS    ST G֬ (        + ij GS  +        ST G֬ (          de GS +G֬ (   +     ef !GS   " # $ % &S T  G֬ ( '  ( +   ) * + , fg -GS ) . /  0 1 2  3 4 5S +T ! G֬ ( 6  7   ) 8 9 : [c ;GS@ <x G֬ ( =  >   ? @ [b AGS@x G֬ ( B  C   D E [a FGS@x G֬ ( G  H   I J [\ KGS' L M N O P Q R S T U V W X Y Z [ \ ] ^ _ ` a b c d e f g h i j k l m n o px G֬ ( q  r   s t u v w x y \` zGS@ vklmno K G֬ ( {  |   v } ~  \_ GS u K G֬ ( ! "  u  #\^ GS t  T K G֬ ( $ %  t  &\] GS s T K G֬ ( ' (  s  )VW VGS +Y  +G֬ ( *Y  +G֬ ( +Y  +G֬ ( ,Y +GS + - . Y      + TU GQ  +        ST  G\         .T GQ  ST  G\         ,. GQzX pST  +  +G\    +G +=       ,- GQ;  +G +=   +    -R GQzX  S T  G\ '  ( +    , RS GQzX  . /  0 1 2  3 4 5S T  G\ 6  7     #, GQ; ] _` T  + +Gja`       #8 GQp  +  GQ1 +GGQpGQpGQ1GQ1GGQpGQpGQ1GxGG G1 +Gja`     #7 GQp   + +Gja`     #6 GQp   + +Gja`     #5 GQp   + +Gja`     #4 GQp    + +Gja`     #3 GQ   + +Gja`     #2 GQ   + +Gja`     #1 GQ   + +Gja`     #0 GQ   + +Gja`     #/ GQ   + +Gja`     : #+ GQ;  <  + +Gja` =  >    @ #* GQ@   + +Gja` B  C    E #) GQ@   + +Gja` G  H    J #$ GQ ' L M N O P Q R S T U V W X Y Z [ \ ] ^ _ ` a b c d e f g h i j k l m n o p , kGQ RGQ mGQ QGQ jGQ VGQ eGQG MGQG@ dGQGQa` ]GQ ZGQ `GQGQa` gGQ oGQ hGQ cGQ WGQ XGQ SGQ ^GQ fGQ PGQ lGQ iGQ YGQ OGQ UGQ TGQ \GQ pGQ aGQ LGQ bGQ [GQG (GАGx _GQ nGQ NGQG +G$  +Gja` q  r     + y $( +GQ@ klmno  + +Gja` {  |   + +  $' +GQ@   + +Gja` ! "  + + #$& +GQ   T  + +Gja` $ %  + + &$% + +GQ  T  + +Gja` ' (  +  + v "# + + GQ@ + +G +G + +Gja`y  6kk +{T|}~ + G@GGQ@~GQ@GАkGQSGQ@GQ@TGQL(|GQ@GQ@GQ@}GQ@GQ@GQ@GQ@GQ@ +GQ@{GQ@GQIGQ@kGGQ@6G +GQ@GG۸GQ@GQ@GQ@GxG `G +YG + +Gja`  =  + + + + + + + +F "> +GQ +HIJKLM + + +Gja`N  O  + +Q "= +GQ + + + +Gja`S  T  + +V "< +GQl + + + +Gja`X  Y  + +[ "9 +GQ1 +] +_` + T + + +Gja`b  c  + +! +" +# +$h 9; +% +&GQl +"pST +' + +% +G\ l  +" + +Gm  n  +" +( +)q 9: +*GQ1 +! + +Gm  s  +! ++ +,$ !" +- +GQI + +-G +YG +Y +Gj'  +(klm)*+,-. +.0123456789:; +/.GQ@-GQ@G +G hkGQSmGQGkH9GQ@*GQIlGQS2GQ@:GQI1GQ@;GQ@5GQ@0GQ@GL((GQI6GQ@8GQ@GQI3GQ@4GQ@ +.GQ@,GQ@)GQI+GQIG:7GQ@G `GG +xG +Y +Gj<    +0 + +1 +2 +3 +4 +5 +6 +7 +8 +9 +: !G +;GQb +7 +/ + +Gj    +7 +< !F +=GQb +6 +/ + +Gj    +6 +> !E +?GQb +5 +/ + +Gj    +5 +@ !B +AGQ +4 +B +CT +/ + +Gj    +4 +D +E +F +G BD +H +IGQ$ +EpST +J + +H +G\ +  +E +A +G +x   +  +E +K +L BC +MGQ +D +A +G +x    +D +N +O !A +PGQ +3STU +/ + +Gj    +3 +Q !@ +RGQ +2 +/G^ +SG^PG_sHG_sa` + +Gj    +2 +T !? +UGQ +1 +/ + +Gj!  "  +1 +V  ! +W +X +/GQI +0 +XG +xG +x +Gj  +0 +WG͙ +YG G +x +Gj  +0klmno +YG qGQIGQIG hkGQSmGQoGQ۸GQa`G͙ +YGQ۸lGQSGQIGQIGQa`nGQ۸G +GQIG +=G `GQIGQ۸GQIG +GQIGQ۸G G  +Gj    +Z +0 +[ +\ +]  H +^GQb +[ +_T +Y + +Gj    +[ +` +ac   +b +c +YGQI +Z +cG `G ` +Gjxg  +Z- !"#$%&'()*+,-./0123456789:<=>? VreFhijklmnopqrstuvwxyz{|}~S +dTU +e +b~&GR +{GIGQ۸GQIGQ۸7GR%GR (G +.GR1GRGQbGQIUGQ۸$GR G֛۸kGQSGR +{mGQGQ۸GQ۸pGQxGQIGQIGQIoGQ۸ +dG̬@6GRGQ۸GQ۸GQa`!G֛۸'GR +Y9GRGR;G͉":GR0GR~GQ۸eG TGQL(GQIlGQSGQ۸GQIGQ۸GQa`tGQIGR hnGQ۸-GRyGQIGQ۸qGQ۸<GR@G1GRlGQIGQIGQI(GR +YGQIGQIGQ۸Gb)GRhGQIwGQI>GRxGQ۸vGQIGQI +eGQISGQL(GQ۸rGQ۸GQIG̬hxzGQIGQ۸rG c{GQ۸GQI+GRGR h2GR*GRiGQIGQ۸3GRsGQIGR;GG͉"=GR@GR GQIVG +G̬hxG +GR "GR HGQIGQIGQIGQIGQ۸GzXGR (8GR}GQIGQ۸jGQIGQ۸GQI|GQ۸#GR H/GRuGQ۸5GR,GRG ?GRGQI4GRGQIGRlG cG ` +Gjx  +Z;; +f;G͙ +YG %`G %` +G %`  X  +g +Z +h +i +j +dQ J +kGQ +i- !"#$%&'()*+,-./0123456789;<=>?STU +f2&GR +{7GR%GR (.GR1GRUGQ۸$GR G͊W0GR +{6GRGQa`!G̤ H'GR +Y9GRGR;G͉"0GRTGQL(GR h-GR<GR@GRl(GR +Y)GR>GRSGQL(G̬hx +lG͕+GRGR h2GR*GR;G͊ J3GRGR;G͉"=GR@GR G̬hxGR "GR HGR (8GR#GR H/GR5GR,GR?GR4GRGRlG͕ +G͕x + +G W  N  +i +m^ I +nGQ +h- !"#$%&'()*+,-./0123456789:<=>? +f-&GR +{7GR%GR (.GR1GR$GR G͊W0GR +{6GR!G̤ H'GR +Y9GRGR;G͉":GR0GRGR h-GR<GR@GRl(GR +Y)GR>GRG̬hx+GRGR h2GR*GR3GRGR;G͉"=GR@GR G̬hxGR "GR HGR (8GR#GR H/GR5GR,GR?GR4GRGRlG͕PG͕  + +G `  a  +h +o ) +p +q +r +fGQ ( +g +rG G  +G * +g;; +q;G͙ +YG G  +G + +g +pG HG H +G H , +g- !"#$%&'()*+,-./0123456789:<=>?- !"#$%&'()*+,-./0123456789:<=>? +s-&GR +{7GR%GR (.GR1GR$GR G֛۸GR +{6GR!G֛۸'GR +Y9GRGR;G͉":GR0GRGR h-GR<GR@GRl(GR +Y)GR>GRG̬hx+GRGR h2GR*GR3GRGR;G͉"=GR@GR G̬hxGR "GR HGR (8GR#GR H/GR5GR,GR?GR4GRGRlG qG q +G q - .  +t +g +u +v +w +x / +sGQ ( +tG 30G 30G 30 +y 0 1 +z 1  +{ +| +t +} +~ + 2 +GQ +|GQ + 3 + 4  +| + + + + 5 + +GQ + + +G֬S , +-  +   + + + +G֬S + 6 + 7  + + + + + + + 8 + +GQ ( +S + + + +G֬S + 9 + + +G֬S + : + ;  + + + + + <P +GQ +  + + + +  +S +T + G֬S + = + >  + + + + + ?PQ +GQ + + + + + +ST + G֬S + @ + A  + + + + B +GQА + + +G֬S + : + C  + + + + + DN +GQ@ +  + + + + +  +S +T + G֬S + E + F  + + + + + GNO +GQ +  + + + + +S +T + G֬S + H + I  + + + + J + +GQА +S + + + +G֬S + K + + +G֬S + L + M  + + + + + NK + +GQI +  + + + +pS +T + + G֬S + O + + +G֬S + P + Q  + + + + + RKL + +GQ@ + + +pST + + G֬ ( + S + + +G֬S + T + U  + + + + + VLM +GQ@ +S + G֬ ( + W + X  + + + Y +GQ +{ + + + + + + + + +GQ ( + Z + [  +{  \GQ ( klmTSp  ]GQx  ] ^  Sp ^ _GQx  _ `    + +              a b c d e f g h i j k l m n ` o p q r s t a uGQL(  u v T v wGQL(  w x v ^ y zGQ  z {  kl | }GQS ! } ~ " # $ % &k  GQS '   ( ) * + , - .  ~ | {Y / 0 1 2 3 4 5 6 7 8 9 : ; < = > ? @ A B C D E F G H I J K L M N O + + + + + + +]_` +{|rklmTSp no{Uxq~u 4 3  + + + . + + + 1 0   + + + + 2  + + /  5      P Q R S T U V W:- X Y Z [ \ ] ^ _ ` a b c d e f g h i j k l m n o p q X N O ^ _ ` a R b c d e f P i p j l o n k h ] g [ \JKILMH +v}wzsty r s t u v w x y z { |;7238054691|}~WV < W U T S V) Z Y Q m*,+.(hji M L +[ }[ ~ } ~ Z }Za  } ! }! +CX }X" }" + Y }Y# }#  } +_! }! +BY }YZ }Z^" }" +[ }[ ~ } ~  } # }# D }D ~ }~ B }B +J9 }9 +'u }uk; }; . }.  } d }d  } , }, W }W  }  } +e]9 }9 +$L }L +v }vu }: }: +,  } u }ug }[ }[H }H +aW }W] } +~  }  } & }& +  } S }S  }  }  } +  } ~ } ~  } w }wp  } Z }ZDN }N +D }D +L  }  } . }. ] }]  } P }P +i }i h }hg }g 9  } ! }! +9 } +d  } _ }_ ; }; +)f }f +$ }$ +  }  }  } +  } ( }( +X }X% }% +  }  } +  }  } +R }R  }  } \ }\ xd }d  }  } ~ }~  } " }" +B }B +G  } e }e U }U C }C +O } +v^ }^ j }j   } T }T ' }' +Q }Q + }  } K }K +  } O }O +V }V Y }Y- }-  }  } +, }, # }#  } +]` }` ~  }   } Z }ZE  } ! }! +:" }" + } +wV }V Y }Y } +. }. h }h  } + } +e }e #- }-  } +. }. h }h  } +e }e "- }-  } +. }. h }h  } + } +e }e &- }-  } + } +. }. h }h  } + } +e }e %- }-  } +. }. h }h  } + } +e }e $- }- ! }! +.Y }Y/Z }Zz" }" + GQ@   + + GQ@ GS GSL(  DE GSL( GSL( GSL(   GSL( GSL( GQ@   +9 +: GQI G +Y GQzX GS GQI GQ@ GS GQ GQ GQzX GS GQI GQ@ GS GQ GQ GQ@ GQzX GS GQI GQ@ GS GQ GQ GQzX  GS  GQ@  GS  GQ  GQ  GQzX  GS  GQI  GQ@  GS  GQ  GQ  GS + GQ ( GQ۸ GQ += GQ8 GS@ G@ G + GQ; GQ GQ۸ GQ; GS + GQ GQ ( GQI GQ GSkH GQ GQ@ GQ GQ$ GS GS GS GQ GQ GS GQ ( GQ GQ GS0 GQT GQ GS GS GQzX GQP GQzX GQА GQl GS + GQP GQ GS + GQ@ GQ h GQА GQ$ GQ GQ GS GQl GS GQА G̬@ GQl GS GS GS GQ GQ GS GQzX GQ۸ GQ GQ$ GQ@ GQT GS GQb GQ8 GQb GQ ( GQb GQ GQzX GQzX GQ@ GQ$ GQ GSL( GS + GQb GSL( GS0 GS GQ$ GQ1 GS GS GQ@ GQ1 GQI GQ۸ GS + GQzX  + GQx  ^ p  ^ ^ y GQ h  + GS  + GQ  + GQzX  + GQl  + +) GS  +g GQl  + +$ GQ$  + +G GSkH  + GQ$  + +L G +   GQS  ^ p  ^ ^ y GQS   GQА   GS   GS   + GS  D GS0   G +Y   +9 G@  + +] + GQ8  G +  + + GS0  GS  + + + GS  G +Y  + +9 + GQ8  GS D GQP  GS  GQ GQ GQI  GQI  GQI GQI GQ@ : GQI GQI : GQ@ d: GQI   ) GQI   : GQ GQ GQ  GQ GQ GQ GQ GQ  GQ  GQ@   GQ@  GQ@   GQ@   GQ@  d GQ;  GT/I  W GT/I   GT/0  GT/0 +  GQ@ GQ@  GQ@ +  GQ@   GQ@   GQ@   GQ@  GQ@   GQ@   GQ@       GQ@  GQ@  GQ@       GQ@  GQ@  GQ@ dd:d GQ@ ! GQ@ " GQ@ #  GQ@ $ GQ@ % GQ@ & GQ@ '  GQ@ (  GQI ) GQI * GQI + GQI , GQI - GQI .  GQ8 / GQ8 0  GQ8 1 GQ8 2 GQ8 3 GQ8 4 GQ8 5  r GQ۸ 6 GQ8 7 8 r +GQ۸ 8 +& +GQ۸ 9 + + : ; r + + + +GQI < + + +GQI = + + +GQI > + + +GQI ? + + GQI @ + +GQI A + + GQI B + + GQI C +  + +GQI D + + + +GQI E + +GQI F + +GQI G + +GQI H + + +GQI I + +GQI J +  K L Mu +GQ۸ N + + + + +GQI O +  P Q Rw +GQI S + + + +GQI T +  U V Wv +GQI X + + + +GQI Y + + + GQI Z + +!GQI [ +!d +"GQI \ +" +#GQI ] +# +$GQI ^ +$ +%GQI _ +% +&GQI ` +&d +'GQI a +' +(GQI b +( +) +*GQ c +* ++GQ d ++ e f g h i j k l +,GQT m +,  n o p q r +-GQT s +- +. +/ +0 +1 +2 +3 +4 +5 +6 +7 +8 +9GQ t +9 +:GQ u +: +;GQ v +; +<GQ w +< +=GQ x += +>GQ y +> +?GQ1 z +? +@GQ1 { +@ +AGQp | +A +BGQ1 } +B +CGQ1 ~ +C |  m | +D | { +EGQp +E +FGQp +F +GGQp +G +H +IGQ +I +JGQ +J +KGQ +K | m | +D | { +LGQ +L +MGQ +M +NGQ +N +H +OGQp +O +PGQ +P ] +QGQ +Q +RGQ +R ] +SGQ +S ] +TGQ +T +U +VGQ +V +WGQ +W +X +YGQ +Y +ZGQ +Z +[ +\GQ +\ +] +^GQ +^ +_GQ +_ +` +aGQ +a +b +cGQ +c +d +eGQ +e +f +gGQ +g +f +hGQ +h +f +iGQ +i +f +jGQ +j +f +kGQ +k +f +lGQ +l +f +mGQ +m +f +nGQ +n +f +oGQ +o +f +pGQ +p +qGQ +q V +rGQ +r +sGQ +s +tGQ +t + +uGQ +u y p y +v ^ y +wGQ۸ +w +xGQ۸ +x + +yGQ۸ +y +zGQ۸ +z +{GQ8 +{ +|GQ8 +| +} +~GQT +~ +GQ8 + +GQ8 + +GQ8 + +GQ8 + +GQ8 + +GQ8 + + +GQ8 + + +GQ8 + +GQ8 + +GQ8 + +GQ8 + +GQ۸ + +GQ8 + +GQ8 + + +GQ8 + + +GQ۸ + +GQ@ +: +GQ + +GQ + +GQ + +GQ h + + +GQ h + +GQ h + + +GQ h + +GQ h + + +GQI + + +GQ; + +GQ8 + +GQ۸ +p +GQ۸ + +G@ + + +] + +GQb +p +GQ8 +p +GQ۸ + + x + +GQ۸ + + + +GQT + +GQP + +  + +GQP + +GQT + + + + + + +GQP +B? +GQP + +GQ + + +GQP + + +GQT + + +GQP +' +GQP + +GQp + +GQ۸ + + +GQ۸ + +GQ۸ + +GQI + +GQ۸ + +GQT +  + + + + + + + + + + + + + + +GQT + +  + + + + + + + + + + +GQT + + +GQ@ + + +  +GQ@ + + +GQzX + + + +GQ + + + + +  + +GQ + +  +GQ + + +GQzX + + + + / + +GQzX + + 3 +GQzX + + +GQ + + + +GQ + + +GQ + + + +GQ + + +GQ + +  +GQzX + + 3 +GQ + + + + + +GQ + + + +GQ + + + + + +GQ + + + +GQ + + +  + +GQ + +  +GQzX +! + + 1 + +GQzX +" + 3 +GQ@ +# + +$ + +GQ@ +% + +GQ +& + +' + +GQ +( + +) + +GQ +* + ++  +GQzX +, + +- 3 +GQzX +. + + +GQ۸ +/ + +GQ۸ +0 + +GQ۸ +1 + +GQ۸ +2 + +GQ۸ +3 + + +GQ۸ +4 + + +GQ۸ +5 + +GQ۸ +6GQ۸ +7GQ۸ +8GQ۸ +9GQ +:GQ@ +;GQI +<GQ۸ +=GQ۸ +> GQ۸ +?  +GQ۸ +@ + GQ۸ +A   +GQ۸ +B +GQ۸ +CGQ۸ +DGQ۸ +E +F +GGQ۸ +H GQ۸ +I +GQ8 +JGQ8 +K +_ +GQ8 +LGQ8 +MpGQ8 +NGQ8 +OGQ8 +PGQ8 +Q + +GQ8 +RGQ8 +S  !GQ8 +T!dd"GQ8 +U"#GQ8 +V#$$%GQ; +W%&GQ; +X&'GQ; +Y'(()GQ; +Z) | +[ +\ +]m | +D | {*GQ +^*+GQ +_+ +,GQ +`,-GQ +a-./GQ +b/01GQ +c123GQ +d3 +4GQ$ +e4 + M +5GQ$ +f56GQb +g67 D78GQ$ +h89GQ +i9 +j J:GQ +k:;<GQl +l< +m H=GQl +n=;>GQ$ +o> +p F?GQ$ +q?;@GQ$ +r@ +s D AGQ$ +tA +u BBGQ$ +vB;CGQ +wC DDGQ +xD + D +EGQzX +yE + D +FGQl +zF + D +GGQ$ +{G7 D7HGQ$ +|H DIGQ$ +}IJ +~ + DJKJLGQ$ +LM + + + + + + + + + + + + + + + + + + + DMNOPQRSTUVWXYZ[\]^_M`GQ$ +` DaGQ$ +a DbGQb +b DcGQ$ +c DdGQ$ +d DeGQb +e DfGQb +f DgGQ$ +g DhGQ$ +h DiGQ$ +i DjGQ$ +j DPK +G7__OBJSTORE__/ProjectNavigator/__stored_objects___StrTblkworkverilogonalibpci_lpcsimprimvcomponentsunisimAND2B1|unisim|vcomponentsAND2B2|unisim|vcomponentsAND2|unisim|vcomponentsAND3B1|unisim|vcomponentsAND3B2|unisim|vcomponentsAND3B3|unisim|vcomponentsAND3|unisim|vcomponentsAND4B1|unisim|vcomponentsAND4B2|unisim|vcomponentsAND4B3|unisim|vcomponentsAND4B4|unisim|vcomponentsAND4|unisim|vcomponentsAND5B1|unisim|vcomponentsAND5B2|unisim|vcomponentsAND5B3|unisim|vcomponentsAND5B4|unisim|vcomponentsAND5B5|unisim|vcomponentsAND5|unisim|vcomponentsAND6|unisim|vcomponentsAND7|unisim|vcomponentsAND8|unisim|vcomponentsBSCAN_FPGACORE|unisim|vcomponentsBSCAN_SPARTAN2|unisim|vcomponentsBSCAN_SPARTAN3A|unisim|vcomponentsBSCAN_SPARTAN3|unisim|vcomponentsBSCAN_VIRTEX2|unisim|vcomponentsBSCAN_VIRTEX4|unisim|vcomponentsBSCAN_VIRTEX5|unisim|vcomponentsBSCAN_VIRTEX|unisim|vcomponentsBUFCF|unisim|vcomponentsBUFE|unisim|vcomponentsBUFFOE|unisim|vcomponentsBUFGCE_1|unisim|vcomponentsBUFGCE|unisim|vcomponentsBUFGCTRL|unisim|vcomponentsBUFGDLL|unisim|vcomponentsBUFGMUX_1|unisim|vcomponentsBUFGMUX_CTRL|unisim|vcomponentsBUFGMUX_VIRTEX4|unisim|vcomponentsBUFGMUX|unisim|vcomponentsBUFGP|unisim|vcomponentsBUFGSR|unisim|vcomponentsBUFGTS|unisim|vcomponentsBUFG|unisim|vcomponentsBUFIO|unisim|vcomponentsBUFR|unisim|vcomponentsBUFT|unisim|vcomponentsBUF|unisim|vcomponentsCAPTURE_FPGACORE|unisim|vcomponentsCAPTURE_SPARTAN2|unisim|vcomponentsCAPTURE_SPARTAN3A|unisim|vcomponentsCAPTURE_SPARTAN3|unisim|vcomponentsCAPTURE_VIRTEX2|unisim|vcomponentsCAPTURE_VIRTEX4|unisim|vcomponentsCAPTURE_VIRTEX5|unisim|vcomponentsCAPTURE_VIRTEX|unisim|vcomponentsCARRY4|unisim|vcomponentsCFGLUT5|unisim|vcomponentsCLKDLLE|unisim|vcomponentsCLKDLLHF|unisim|vcomponentsCLKDLL|unisim|vcomponentsCLK_DIV10RSD|unisim|vcomponentsCLK_DIV10R|unisim|vcomponentsCLK_DIV10SD|unisim|vcomponentsCLK_DIV10|unisim|vcomponentsCLK_DIV12RSD|unisim|vcomponentsCLK_DIV12R|unisim|vcomponentsCLK_DIV12SD|unisim|vcomponentsCLK_DIV12|unisim|vcomponentsCLK_DIV14RSD|unisim|vcomponentsCLK_DIV14R|unisim|vcomponentsCLK_DIV14SD|unisim|vcomponentsCLK_DIV14|unisim|vcomponentsCLK_DIV16RSD|unisim|vcomponentsCLK_DIV16R|unisim|vcomponentsCLK_DIV16SD|unisim|vcomponentsCLK_DIV16|unisim|vcomponentsCLK_DIV2RSD|unisim|vcomponentsCLK_DIV2R|unisim|vcomponentsCLK_DIV2SD|unisim|vcomponentsCLK_DIV2|unisim|vcomponentsCLK_DIV4RSD|unisim|vcomponentsCLK_DIV4R|unisim|vcomponentsCLK_DIV4SD|unisim|vcomponentsCLK_DIV4|unisim|vcomponentsCLK_DIV6RSD|unisim|vcomponentsCLK_DIV6R|unisim|vcomponentsCLK_DIV6SD|unisim|vcomponentsCLK_DIV6|unisim|vcomponentsCLK_DIV8RSD|unisim|vcomponentsCLK_DIV8R|unisim|vcomponentsCLK_DIV8SD|unisim|vcomponentsCLK_DIV8|unisim|vcomponentsCONFIG|unisim|vcomponentsCRC32|unisim|vcomponentsCRC64|unisim|vcomponentsDCC_FPGACORE|unisim|vcomponentsDCIRESET|unisim|vcomponentsDCM_ADV|unisim|vcomponentsDCM_BASE|unisim|vcomponentsDCM_PS|unisim|vcomponentsDCM_SP|unisim|vcomponentsDCM|unisim|vcomponentsDNA_PORT|unisim|vcomponentsDSP48A|unisim|vcomponentsDSP48E|unisim|vcomponentsDSP48|unisim|vcomponentsEMAC|unisim|vcomponentsFDCE_1|unisim|vcomponentsFDCE|unisim|vcomponentsFDCPE_1|unisim|vcomponentsFDCPE|unisim|vcomponentsFDCPX1|unisim|vcomponentsFDCP_1|unisim|vcomponentsFDCP|unisim|vcomponentsFDC_1|unisim|vcomponentsFDC|unisim|vcomponentsFDDCE|unisim|vcomponentsFDDCPE|unisim|vcomponentsFDDCP|unisim|vcomponentsFDDC|unisim|vcomponentsFDDPE|unisim|vcomponentsFDDP|unisim|vcomponentsFDDRCPE|unisim|vcomponentsFDDRRSE|unisim|vcomponentsFDD|unisim|vcomponentsFDE_1|unisim|vcomponentsFDE|unisim|vcomponentsFDPE_1|unisim|vcomponentsFDPE|unisim|vcomponentsFDP_1|unisim|vcomponentsFDP|unisim|vcomponentsFDRE_1|unisim|vcomponentsFDRE|unisim|vcomponentsFDRSE_1|unisim|vcomponentsFDRSE|unisim|vcomponentsFDRS_1|unisim|vcomponentsFDRS|unisim|vcomponentsFDR_1|unisim|vcomponentsFDR|unisim|vcomponentsFDSE_1|unisim|vcomponentsFDSE|unisim|vcomponentsFDS_1|unisim|vcomponentsFDS|unisim|vcomponentsFD_1|unisim|vcomponentsFD|unisim|vcomponentsFIFO16|unisim|vcomponentsFIFO18_36|unisim|vcomponentsFIFO18|unisim|vcomponentsFIFO36_72_EXP|unisim|vcomponentsFIFO36_72|unisim|vcomponentsFIFO36_EXP|unisim|vcomponentsFIFO36|unisim|vcomponentsFMAP|unisim|vcomponentsFRAME_ECC_VIRTEX4|unisim|vcomponentsFRAME_ECC_VIRTEX5|unisim|vcomponentsFTCP|unisim|vcomponentsFTC|unisim|vcomponentsFTP|unisim|vcomponentsGND|unisim|vcomponentsGT10_10GE_4|unisim|vcomponentsGT10_10GE_8|unisim|vcomponentsGT10_10GFC_4|unisim|vcomponentsGT10_10GFC_8|unisim|vcomponentsGT10_AURORAX_4|unisim|vcomponentsGT10_AURORAX_8|unisim|vcomponentsGT10_AURORA_1|unisim|vcomponentsGT10_AURORA_2|unisim|vcomponentsGT10_AURORA_4|unisim|vcomponentsGT10_CUSTOM|unisim|vcomponentsGT10_INFINIBAND_1|unisim|vcomponentsGT10_INFINIBAND_2|unisim|vcomponentsGT10_INFINIBAND_4|unisim|vcomponentsGT10_OC192_4|unisim|vcomponentsGT10_OC192_8|unisim|vcomponentsGT10_OC48_1|unisim|vcomponentsGT10_OC48_2|unisim|vcomponentsGT10_OC48_4|unisim|vcomponentsGT10_PCI_EXPRESS_1|unisim|vcomponentsGT10_PCI_EXPRESS_2|unisim|vcomponentsGT10_PCI_EXPRESS_4|unisim|vcomponentsGT10_XAUI_1|unisim|vcomponentsGT10_XAUI_2|unisim|vcomponentsGT10_XAUI_4|unisim|vcomponentsGT10|unisim|vcomponentsGT11CLK_MGT|unisim|vcomponentsGT11CLK|unisim|vcomponentsGT11_CUSTOM|unisim|vcomponentsGT11_DUAL|unisim|vcomponentsGT11|unisim|vcomponentsGTP_DUAL|unisim|vcomponentsGT_AURORA_1|unisim|vcomponentsGT_AURORA_2|unisim|vcomponentsGT_AURORA_4|unisim|vcomponentsGT_CUSTOM|unisim|vcomponentsGT_ETHERNET_1|unisim|vcomponentsGT_ETHERNET_2|unisim|vcomponentsGT_ETHERNET_4|unisim|vcomponentsGT_FIBRE_CHAN_1|unisim|vcomponentsGT_FIBRE_CHAN_2|unisim|vcomponentsGT_FIBRE_CHAN_4|unisim|vcomponentsGT_INFINIBAND_1|unisim|vcomponentsGT_INFINIBAND_2|unisim|vcomponentsGT_INFINIBAND_4|unisim|vcomponentsGT_XAUI_1|unisim|vcomponentsGT_XAUI_2|unisim|vcomponentsGT_XAUI_4|unisim|vcomponentsGT|unisim|vcomponentsIBUFDS_BLVDS_25|unisim|vcomponentsIBUFDS_DIFF_OUT|unisim|vcomponentsIBUFDS_DLY_ADJ|unisim|vcomponentsIBUFDS_LDT_25|unisim|vcomponentsIBUFDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_25|unisim|vcomponentsIBUFDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_33|unisim|vcomponentsIBUFDS_LVDS_25_DCI|unisim|vcomponentsIBUFDS_LVDS_25|unisim|vcomponentsIBUFDS_LVDS_33_DCI|unisim|vcomponentsIBUFDS_LVDS_33|unisim|vcomponentsIBUFDS_LVPECL_25|unisim|vcomponentsIBUFDS_LVPECL_33|unisim|vcomponentsIBUFDS_ULVDS_25|unisim|vcomponentsIBUFDS|unisim|vcomponentsIBUFGDS_BLVDS_25|unisim|vcomponentsIBUFGDS_DIFF_OUT|unisim|vcomponentsIBUFGDS_LDT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_33|unisim|vcomponentsIBUFGDS_LVDS_25_DCI|unisim|vcomponentsIBUFGDS_LVDS_25|unisim|vcomponentsIBUFGDS_LVDS_33_DCI|unisim|vcomponentsIBUFGDS_LVDS_33|unisim|vcomponentsIBUFGDS_LVPECL_25|unisim|vcomponentsIBUFGDS_LVPECL_33|unisim|vcomponentsIBUFGDS_ULVDS_25|unisim|vcomponentsIBUFGDS|unisim|vcomponentsIBUFG_AGP|unisim|vcomponentsIBUFG_CTT|unisim|vcomponentsIBUFG_GTLP_DCI|unisim|vcomponentsIBUFG_GTLP|unisim|vcomponentsIBUFG_GTL_DCI|unisim|vcomponentsIBUFG_GTL|unisim|vcomponentsIBUFG_HSTL_III_18|unisim|vcomponentsIBUFG_HSTL_III_DCI_18|unisim|vcomponentsIBUFG_HSTL_III_DCI|unisim|vcomponentsIBUFG_HSTL_III|unisim|vcomponentsIBUFG_HSTL_II_18|unisim|vcomponentsIBUFG_HSTL_II_DCI_18|unisim|vcomponentsIBUFG_HSTL_II_DCI|unisim|vcomponentsIBUFG_HSTL_II|unisim|vcomponentsIBUFG_HSTL_IV_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI|unisim|vcomponentsIBUFG_HSTL_IV|unisim|vcomponentsIBUFG_HSTL_I_18|unisim|vcomponentsIBUFG_HSTL_I_DCI_18|unisim|vcomponentsIBUFG_HSTL_I_DCI|unisim|vcomponentsIBUFG_HSTL_I|unisim|vcomponentsIBUFG_LVCMOS12|unisim|vcomponentsIBUFG_LVCMOS15|unisim|vcomponentsIBUFG_LVCMOS18|unisim|vcomponentsIBUFG_LVCMOS25|unisim|vcomponentsIBUFG_LVCMOS2|unisim|vcomponentsIBUFG_LVCMOS33|unisim|vcomponentsIBUFG_LVDCI_15|unisim|vcomponentsIBUFG_LVDCI_18|unisim|vcomponentsIBUFG_LVDCI_25|unisim|vcomponentsIBUFG_LVDCI_33|unisim|vcomponentsIBUFG_LVDCI_DV2_15|unisim|vcomponentsIBUFG_LVDCI_DV2_18|unisim|vcomponentsIBUFG_LVDCI_DV2_25|unisim|vcomponentsIBUFG_LVDCI_DV2_33|unisim|vcomponentsIBUFG_LVDS|unisim|vcomponentsIBUFG_LVPECL|unisim|vcomponentsIBUFG_LVTTL|unisim|vcomponentsIBUFG_PCI33_3|unisim|vcomponentsIBUFG_PCI33_5|unisim|vcomponentsIBUFG_PCI66_3|unisim|vcomponentsIBUFG_PCIX66_3|unisim|vcomponentsIBUFG_PCIX|unisim|vcomponentsIBUFG_SSTL18_II_DCI|unisim|vcomponentsIBUFG_SSTL18_II|unisim|vcomponentsIBUFG_SSTL18_I_DCI|unisim|vcomponentsIBUFG_SSTL18_I|unisim|vcomponentsIBUFG_SSTL2_II_DCI|unisim|vcomponentsIBUFG_SSTL2_II|unisim|vcomponentsIBUFG_SSTL2_I_DCI|unisim|vcomponentsIBUFG_SSTL2_I|unisim|vcomponentsIBUFG_SSTL3_II_DCI|unisim|vcomponentsIBUFG_SSTL3_II|unisim|vcomponentsIBUFG_SSTL3_I_DCI|unisim|vcomponentsIBUFG_SSTL3_I|unisim|vcomponentsIBUFG|unisim|vcomponentsIBUF_AGP|unisim|vcomponentsIBUF_CTT|unisim|vcomponentsIBUF_DLY_ADJ|unisim|vcomponentsIBUF_GTLP_DCI|unisim|vcomponentsIBUF_GTLP|unisim|vcomponentsIBUF_GTL_DCI|unisim|vcomponentsIBUF_GTL|unisim|vcomponentsIBUF_HSTL_III_18|unisim|vcomponentsIBUF_HSTL_III_DCI_18|unisim|vcomponentsIBUF_HSTL_III_DCI|unisim|vcomponentsIBUF_HSTL_III|unisim|vcomponentsIBUF_HSTL_II_18|unisim|vcomponentsIBUF_HSTL_II_DCI_18|unisim|vcomponentsIBUF_HSTL_II_DCI|unisim|vcomponentsIBUF_HSTL_II|unisim|vcomponentsIBUF_HSTL_IV_18|unisim|vcomponentsIBUF_HSTL_IV_DCI_18|unisim|vcomponentsIBUF_HSTL_IV_DCI|unisim|vcomponentsIBUF_HSTL_IV|unisim|vcomponentsIBUF_HSTL_I_18|unisim|vcomponentsIBUF_HSTL_I_DCI_18|unisim|vcomponentsIBUF_HSTL_I_DCI|unisim|vcomponentsIBUF_HSTL_I|unisim|vcomponentsIBUF_LVCMOS12|unisim|vcomponentsIBUF_LVCMOS15|unisim|vcomponentsIBUF_LVCMOS18|unisim|vcomponentsIBUF_LVCMOS25|unisim|vcomponentsIBUF_LVCMOS2|unisim|vcomponentsIBUF_LVCMOS33|unisim|vcomponentsIBUF_LVDCI_15|unisim|vcomponentsIBUF_LVDCI_18|unisim|vcomponentsIBUF_LVDCI_25|unisim|vcomponentsIBUF_LVDCI_33|unisim|vcomponentsIBUF_LVDCI_DV2_15|unisim|vcomponentsIBUF_LVDCI_DV2_18|unisim|vcomponentsIBUF_LVDCI_DV2_25|unisim|vcomponentsIBUF_LVDCI_DV2_33|unisim|vcomponentsIBUF_LVDS|unisim|vcomponentsIBUF_LVPECL|unisim|vcomponentsIBUF_LVTTL|unisim|vcomponentsIBUF_PCI33_3|unisim|vcomponentsIBUF_PCI33_5|unisim|vcomponentsIBUF_PCI66_3|unisim|vcomponentsIBUF_PCIX66_3|unisim|vcomponentsIBUF_PCIX|unisim|vcomponentsIBUF_SSTL18_II_DCI|unisim|vcomponentsIBUF_SSTL18_II|unisim|vcomponentsIBUF_SSTL18_I_DCI|unisim|vcomponentsIBUF_SSTL18_I|unisim|vcomponentsIBUF_SSTL2_II_DCI|unisim|vcomponentsIBUF_SSTL2_II|unisim|vcomponentsIBUF_SSTL2_I_DCI|unisim|vcomponentsIBUF_SSTL2_I|unisim|vcomponentsIBUF_SSTL3_II_DCI|unisim|vcomponentsIBUF_SSTL3_II|unisim|vcomponentsIBUF_SSTL3_I_DCI|unisim|vcomponentsIBUF_SSTL3_I|unisim|vcomponentsIBUF|unisim|vcomponentsICAP_SPARTAN3A|unisim|vcomponentsICAP_VIRTEX2|unisim|vcomponentsICAP_VIRTEX4|unisim|vcomponentsICAP_VIRTEX5|unisim|vcomponentsIDDR2|unisim|vcomponentsIDDR_2CLK|unisim|vcomponentsIDDR|unisim|vcomponentsIDELAYCTRL|unisim|vcomponentsIDELAY|unisim|vcomponentsIFDDRCPE|unisim|vcomponentsIFDDRRSE|unisim|vcomponentsILD|unisim|vcomponentsINV|unisim|vcomponentsIOBUFDS_BLVDS_25|unisim|vcomponentsIOBUFDS|unisim|vcomponentsIOBUFE_F|unisim|vcomponentsIOBUFE_S|unisim|vcomponentsIOBUFE|unisim|vcomponentsIOBUF_AGP|unisim|vcomponentsIOBUF_CTT|unisim|vcomponentsIOBUF_F_12|unisim|vcomponentsIOBUF_F_16|unisim|vcomponentsIOBUF_F_24|unisim|vcomponentsIOBUF_F_2|unisim|vcomponentsIOBUF_F_4|unisim|vcomponentsIOBUF_F_6|unisim|vcomponentsIOBUF_F_8|unisim|vcomponentsIOBUF_GTLP_DCI|unisim|vcomponentsIOBUF_GTLP|unisim|vcomponentsIOBUF_GTL_DCI|unisim|vcomponentsIOBUF_GTL|unisim|vcomponentsIOBUF_HSTL_III_18|unisim|vcomponentsIOBUF_HSTL_III|unisim|vcomponentsIOBUF_HSTL_II_18|unisim|vcomponentsIOBUF_HSTL_II_DCI_18|unisim|vcomponentsIOBUF_HSTL_II_DCI|unisim|vcomponentsIOBUF_HSTL_II|unisim|vcomponentsIOBUF_HSTL_IV_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI|unisim|vcomponentsIOBUF_HSTL_IV|unisim|vcomponentsIOBUF_HSTL_I_18|unisim|vcomponentsIOBUF_HSTL_I|unisim|vcomponentsIOBUF_LVCMOS12_F_2|unisim|vcomponentsIOBUF_LVCMOS12_F_4|unisim|vcomponentsIOBUF_LVCMOS12_F_6|unisim|vcomponentsIOBUF_LVCMOS12_F_8|unisim|vcomponentsIOBUF_LVCMOS12_S_2|unisim|vcomponentsIOBUF_LVCMOS12_S_4|unisim|vcomponentsIOBUF_LVCMOS12_S_6|unisim|vcomponentsIOBUF_LVCMOS12_S_8|unisim|vcomponentsIOBUF_LVCMOS12|unisim|vcomponentsIOBUF_LVCMOS15_F_12|unisim|vcomponentsIOBUF_LVCMOS15_F_16|unisim|vcomponentsIOBUF_LVCMOS15_F_2|unisim|vcomponentsIOBUF_LVCMOS15_F_4|unisim|vcomponentsIOBUF_LVCMOS15_F_6|unisim|vcomponentsIOBUF_LVCMOS15_F_8|unisim|vcomponentsIOBUF_LVCMOS15_S_12|unisim|vcomponentsIOBUF_LVCMOS15_S_16|unisim|vcomponentsIOBUF_LVCMOS15_S_2|unisim|vcomponentsIOBUF_LVCMOS15_S_4|unisim|vcomponentsIOBUF_LVCMOS15_S_6|unisim|vcomponentsIOBUF_LVCMOS15_S_8|unisim|vcomponentsIOBUF_LVCMOS15|unisim|vcomponentsIOBUF_LVCMOS18_F_12|unisim|vcomponentsIOBUF_LVCMOS18_F_16|unisim|vcomponentsIOBUF_LVCMOS18_F_2|unisim|vcomponentsIOBUF_LVCMOS18_F_4|unisim|vcomponentsIOBUF_LVCMOS18_F_6|unisim|vcomponentsIOBUF_LVCMOS18_F_8|unisim|vcomponentsIOBUF_LVCMOS18_S_12|unisim|vcomponentsIOBUF_LVCMOS18_S_16|unisim|vcomponentsIOBUF_LVCMOS18_S_2|unisim|vcomponentsIOBUF_LVCMOS18_S_4|unisim|vcomponentsIOBUF_LVCMOS18_S_6|unisim|vcomponentsIOBUF_LVCMOS18_S_8|unisim|vcomponentsIOBUF_LVCMOS18|unisim|vcomponentsIOBUF_LVCMOS25_F_12|unisim|vcomponentsIOBUF_LVCMOS25_F_16|unisim|vcomponentsIOBUF_LVCMOS25_F_24|unisim|vcomponentsIOBUF_LVCMOS25_F_2|unisim|vcomponentsIOBUF_LVCMOS25_F_4|unisim|vcomponentsIOBUF_LVCMOS25_F_6|unisim|vcomponentsIOBUF_LVCMOS25_F_8|unisim|vcomponentsIOBUF_LVCMOS25_S_12|unisim|vcomponentsIOBUF_LVCMOS25_S_16|unisim|vcomponentsIOBUF_LVCMOS25_S_24|unisim|vcomponentsIOBUF_LVCMOS25_S_2|unisim|vcomponentsIOBUF_LVCMOS25_S_4|unisim|vcomponentsIOBUF_LVCMOS25_S_6|unisim|vcomponentsIOBUF_LVCMOS25_S_8|unisim|vcomponentsIOBUF_LVCMOS25|unisim|vcomponentsIOBUF_LVCMOS2|unisim|vcomponentsIOBUF_LVCMOS33_F_12|unisim|vcomponentsIOBUF_LVCMOS33_F_16|unisim|vcomponentsIOBUF_LVCMOS33_F_24|unisim|vcomponentsIOBUF_LVCMOS33_F_2|unisim|vcomponentsIOBUF_LVCMOS33_F_4|unisim|vcomponentsIOBUF_LVCMOS33_F_6|unisim|vcomponentsIOBUF_LVCMOS33_F_8|unisim|vcomponentsIOBUF_LVCMOS33_S_12|unisim|vcomponentsIOBUF_LVCMOS33_S_16|unisim|vcomponentsIOBUF_LVCMOS33_S_24|unisim|vcomponentsIOBUF_LVCMOS33_S_2|unisim|vcomponentsIOBUF_LVCMOS33_S_4|unisim|vcomponentsIOBUF_LVCMOS33_S_6|unisim|vcomponentsIOBUF_LVCMOS33_S_8|unisim|vcomponentsIOBUF_LVCMOS33|unisim|vcomponentsIOBUF_LVDCI_15|unisim|vcomponentsIOBUF_LVDCI_18|unisim|vcomponentsIOBUF_LVDCI_25|unisim|vcomponentsIOBUF_LVDCI_33|unisim|vcomponentsIOBUF_LVDCI_DV2_15|unisim|vcomponentsIOBUF_LVDCI_DV2_18|unisim|vcomponentsIOBUF_LVDCI_DV2_25|unisim|vcomponentsIOBUF_LVDCI_DV2_33|unisim|vcomponentsIOBUF_LVDS|unisim|vcomponentsIOBUF_LVPECL|unisim|vcomponentsIOBUF_LVTTL_F_12|unisim|vcomponentsIOBUF_LVTTL_F_16|unisim|vcomponentsIOBUF_LVTTL_F_24|unisim|vcomponentsIOBUF_LVTTL_F_2|unisim|vcomponentsIOBUF_LVTTL_F_4|unisim|vcomponentsIOBUF_LVTTL_F_6|unisim|vcomponentsIOBUF_LVTTL_F_8|unisim|vcomponentsIOBUF_LVTTL_S_12|unisim|vcomponentsIOBUF_LVTTL_S_16|unisim|vcomponentsIOBUF_LVTTL_S_24|unisim|vcomponentsIOBUF_LVTTL_S_2|unisim|vcomponentsIOBUF_LVTTL_S_4|unisim|vcomponentsIOBUF_LVTTL_S_6|unisim|vcomponentsIOBUF_LVTTL_S_8|unisim|vcomponentsIOBUF_LVTTL|unisim|vcomponentsIOBUF_PCI33_3|unisim|vcomponentsIOBUF_PCI33_5|unisim|vcomponentsIOBUF_PCI66_3|unisim|vcomponentsIOBUF_PCIX66_3|unisim|vcomponentsIOBUF_PCIX|unisim|vcomponentsIOBUF_SSTL18_II_DCI|unisim|vcomponentsIOBUF_SSTL18_II|unisim|vcomponentsIOBUF_SSTL18_I|unisim|vcomponentsIOBUF_SSTL2_II_DCI|unisim|vcomponentsIOBUF_SSTL2_II|unisim|vcomponentsIOBUF_SSTL2_I|unisim|vcomponentsIOBUF_SSTL3_II_DCI|unisim|vcomponentsIOBUF_SSTL3_II|unisim|vcomponentsIOBUF_SSTL3_I|unisim|vcomponentsIOBUF_S_12|unisim|vcomponentsIOBUF_S_16|unisim|vcomponentsIOBUF_S_24|unisim|vcomponentsIOBUF_S_2|unisim|vcomponentsIOBUF_S_4|unisim|vcomponentsIOBUF_S_6|unisim|vcomponentsIOBUF_S_8|unisim|vcomponentsIOBUF|unisim|vcomponentsIODELAY|unisim|vcomponentsISERDES_NODELAY|unisim|vcomponentsISERDES|unisim|vcomponentsJTAGPPC|unisim|vcomponentsKEEPER|unisim|vcomponentsKEEP|unisim|vcomponentsKEY_CLEAR|unisim|vcomponentsLDCE_1|unisim|vcomponentsLDCE|unisim|vcomponentsLDCPE_1|unisim|vcomponentsLDCPE|unisim|vcomponentsLDCP_1|unisim|vcomponentsLDCP|unisim|vcomponentsLDC_1|unisim|vcomponentsLDC|unisim|vcomponentsLDE_1|unisim|vcomponentsLDE|unisim|vcomponentsLDG|unisim|vcomponentsLDPE_1|unisim|vcomponentsLDPE|unisim|vcomponentsLDP_1|unisim|vcomponentsLDP|unisim|vcomponentsLD_1|unisim|vcomponentsLD|unisim|vcomponentsLUT1_D|unisim|vcomponentsLUT1_L|unisim|vcomponentsLUT1|unisim|vcomponentsLUT2_D|unisim|vcomponentsLUT2_L|unisim|vcomponentsLUT2|unisim|vcomponentsLUT3_D|unisim|vcomponentsLUT3_L|unisim|vcomponentsLUT3|unisim|vcomponentsLUT4_D|unisim|vcomponentsLUT4_L|unisim|vcomponentsLUT4|unisim|vcomponentsLUT5_D|unisim|vcomponentsLUT5_L|unisim|vcomponentsLUT5|unisim|vcomponentsLUT6_2|unisim|vcomponentsLUT6_D|unisim|vcomponentsLUT6_L|unisim|vcomponentsLUT6|unisim|vcomponentsMERGE|unisim|vcomponentsMIN_OFF|unisim|vcomponentsMULT18X18SIO|unisim|vcomponentsMULT18X18S|unisim|vcomponentsMULT18X18|unisim|vcomponentsMULT_AND|unisim|vcomponentsMUXCY_D|unisim|vcomponentsMUXCY_L|unisim|vcomponentsMUXCY|unisim|vcomponentsMUXF5_D|unisim|vcomponentsMUXF5_L|unisim|vcomponentsMUXF5|unisim|vcomponentsMUXF6_D|unisim|vcomponentsMUXF6_L|unisim|vcomponentsMUXF6|unisim|vcomponentsMUXF7_D|unisim|vcomponentsMUXF7_L|unisim|vcomponentsMUXF7|unisim|vcomponentsMUXF8_D|unisim|vcomponentsMUXF8_L|unisim|vcomponentsMUXF8|unisim|vcomponentsNAND2B1|unisim|vcomponentsNAND2B2|unisim|vcomponentsNAND2|unisim|vcomponentsNAND3B1|unisim|vcomponentsNAND3B2|unisim|vcomponentsNAND3B3|unisim|vcomponentsNAND3|unisim|vcomponentsNAND4B1|unisim|vcomponentsNAND4B2|unisim|vcomponentsNAND4B3|unisim|vcomponentsNAND4B4|unisim|vcomponentsNAND4|unisim|vcomponentsNAND5B1|unisim|vcomponentsNAND5B2|unisim|vcomponentsNAND5B3|unisim|vcomponentsNAND5B4|unisim|vcomponentsNAND5B5|unisim|vcomponentsNAND5|unisim|vcomponentsNOR2B1|unisim|vcomponentsNOR2B2|unisim|vcomponentsNOR2|unisim|vcomponentsNOR3B1|unisim|vcomponentsNOR3B2|unisim|vcomponentsNOR3B3|unisim|vcomponentsNOR3|unisim|vcomponentsNOR4B1|unisim|vcomponentsNOR4B2|unisim|vcomponentsNOR4B3|unisim|vcomponentsNOR4B4|unisim|vcomponentsNOR4|unisim|vcomponentsNOR5B1|unisim|vcomponentsNOR5B2|unisim|vcomponentsNOR5B3|unisim|vcomponentsNOR5B4|unisim|vcomponentsNOR5B5|unisim|vcomponentsNOR5|unisim|vcomponentsOBUFDS_BLVDS_25|unisim|vcomponentsOBUFDS_LDT_25|unisim|vcomponentsOBUFDS_LVDSEXT_25|unisim|vcomponentsOBUFDS_LVDSEXT_33|unisim|vcomponentsOBUFDS_LVDS_25|unisim|vcomponentsOBUFDS_LVDS_33|unisim|vcomponentsOBUFDS_LVPECL_25|unisim|vcomponentsOBUFDS_LVPECL_33|unisim|vcomponentsOBUFDS_ULVDS_25|unisim|vcomponentsOBUFDS|unisim|vcomponentsOBUFE|unisim|vcomponentsOBUFTDS_BLVDS_25|unisim|vcomponentsOBUFTDS_LDT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_33|unisim|vcomponentsOBUFTDS_LVDS_25|unisim|vcomponentsOBUFTDS_LVDS_33|unisim|vcomponentsOBUFTDS_LVPECL_25|unisim|vcomponentsOBUFTDS_LVPECL_33|unisim|vcomponentsOBUFTDS_ULVDS_25|unisim|vcomponentsOBUFTDS|unisim|vcomponentsOBUFT_AGP|unisim|vcomponentsOBUFT_CTT|unisim|vcomponentsOBUFT_F_12|unisim|vcomponentsOBUFT_F_16|unisim|vcomponentsOBUFT_F_24|unisim|vcomponentsOBUFT_F_2|unisim|vcomponentsOBUFT_F_4|unisim|vcomponentsOBUFT_F_6|unisim|vcomponentsOBUFT_F_8|unisim|vcomponentsOBUFT_GTLP_DCI|unisim|vcomponentsOBUFT_GTLP|unisim|vcomponentsOBUFT_GTL_DCI|unisim|vcomponentsOBUFT_GTL|unisim|vcomponentsOBUFT_HSTL_III_18|unisim|vcomponentsOBUFT_HSTL_III_DCI_18|unisim|vcomponentsOBUFT_HSTL_III_DCI|unisim|vcomponentsOBUFT_HSTL_III|unisim|vcomponentsOBUFT_HSTL_II_18|unisim|vcomponentsOBUFT_HSTL_II_DCI_18|unisim|vcomponentsOBUFT_HSTL_II_DCI|unisim|vcomponentsOBUFT_HSTL_II|unisim|vcomponentsOBUFT_HSTL_IV_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI|unisim|vcomponentsOBUFT_HSTL_IV|unisim|vcomponentsOBUFT_HSTL_I_18|unisim|vcomponentsOBUFT_HSTL_I_DCI_18|unisim|vcomponentsOBUFT_HSTL_I_DCI|unisim|vcomponentsOBUFT_HSTL_I|unisim|vcomponentsOBUFT_LVCMOS12_F_2|unisim|vcomponentsOBUFT_LVCMOS12_F_4|unisim|vcomponentsOBUFT_LVCMOS12_F_6|unisim|vcomponentsOBUFT_LVCMOS12_F_8|unisim|vcomponentsOBUFT_LVCMOS12_S_2|unisim|vcomponentsOBUFT_LVCMOS12_S_4|unisim|vcomponentsOBUFT_LVCMOS12_S_6|unisim|vcomponentsOBUFT_LVCMOS12_S_8|unisim|vcomponentsOBUFT_LVCMOS12|unisim|vcomponentsOBUFT_LVCMOS15_F_12|unisim|vcomponentsOBUFT_LVCMOS15_F_16|unisim|vcomponentsOBUFT_LVCMOS15_F_2|unisim|vcomponentsOBUFT_LVCMOS15_F_4|unisim|vcomponentsOBUFT_LVCMOS15_F_6|unisim|vcomponentsOBUFT_LVCMOS15_F_8|unisim|vcomponentsOBUFT_LVCMOS15_S_12|unisim|vcomponentsOBUFT_LVCMOS15_S_16|unisim|vcomponentsOBUFT_LVCMOS15_S_2|unisim|vcomponentsOBUFT_LVCMOS15_S_4|unisim|vcomponentsOBUFT_LVCMOS15_S_6|unisim|vcomponentsOBUFT_LVCMOS15_S_8|unisim|vcomponentsOBUFT_LVCMOS15|unisim|vcomponentsOBUFT_LVCMOS18_F_12|unisim|vcomponentsOBUFT_LVCMOS18_F_16|unisim|vcomponentsOBUFT_LVCMOS18_F_2|unisim|vcomponentsOBUFT_LVCMOS18_F_4|unisim|vcomponentsOBUFT_LVCMOS18_F_6|unisim|vcomponentsOBUFT_LVCMOS18_F_8|unisim|vcomponentsOBUFT_LVCMOS18_S_12|unisim|vcomponentsOBUFT_LVCMOS18_S_16|unisim|vcomponentsOBUFT_LVCMOS18_S_2|unisim|vcomponentsOBUFT_LVCMOS18_S_4|unisim|vcomponentsOBUFT_LVCMOS18_S_6|unisim|vcomponentsOBUFT_LVCMOS18_S_8|unisim|vcomponentsOBUFT_LVCMOS18|unisim|vcomponentsOBUFT_LVCMOS25_F_12|unisim|vcomponentsOBUFT_LVCMOS25_F_16|unisim|vcomponentsOBUFT_LVCMOS25_F_24|unisim|vcomponentsOBUFT_LVCMOS25_F_2|unisim|vcomponentsOBUFT_LVCMOS25_F_4|unisim|vcomponentsOBUFT_LVCMOS25_F_6|unisim|vcomponentsOBUFT_LVCMOS25_F_8|unisim|vcomponentsOBUFT_LVCMOS25_S_12|unisim|vcomponentsOBUFT_LVCMOS25_S_16|unisim|vcomponentsOBUFT_LVCMOS25_S_24|unisim|vcomponentsOBUFT_LVCMOS25_S_2|unisim|vcomponentsOBUFT_LVCMOS25_S_4|unisim|vcomponentsOBUFT_LVCMOS25_S_6|unisim|vcomponentsOBUFT_LVCMOS25_S_8|unisim|vcomponentsOBUFT_LVCMOS25|unisim|vcomponentsOBUFT_LVCMOS2|unisim|vcomponentsOBUFT_LVCMOS33_F_12|unisim|vcomponentsOBUFT_LVCMOS33_F_16|unisim|vcomponentsOBUFT_LVCMOS33_F_24|unisim|vcomponentsOBUFT_LVCMOS33_F_2|unisim|vcomponentsOBUFT_LVCMOS33_F_4|unisim|vcomponentsOBUFT_LVCMOS33_F_6|unisim|vcomponentsOBUFT_LVCMOS33_F_8|unisim|vcomponentsOBUFT_LVCMOS33_S_12|unisim|vcomponentsOBUFT_LVCMOS33_S_16|unisim|vcomponentsOBUFT_LVCMOS33_S_24|unisim|vcomponentsOBUFT_LVCMOS33_S_2|unisim|vcomponentsOBUFT_LVCMOS33_S_4|unisim|vcomponentsOBUFT_LVCMOS33_S_6|unisim|vcomponentsOBUFT_LVCMOS33_S_8|unisim|vcomponentsOBUFT_LVCMOS33|unisim|vcomponentsOBUFT_LVDCI_15|unisim|vcomponentsOBUFT_LVDCI_18|unisim|vcomponentsOBUFT_LVDCI_25|unisim|vcomponentsOBUFT_LVDCI_33|unisim|vcomponentsOBUFT_LVDCI_DV2_15|unisim|vcomponentsOBUFT_LVDCI_DV2_18|unisim|vcomponentsOBUFT_LVDCI_DV2_25|unisim|vcomponentsOBUFT_LVDCI_DV2_33|unisim|vcomponentsOBUFT_LVDS|unisim|vcomponentsOBUFT_LVPECL|unisim|vcomponentsOBUFT_LVTTL_F_12|unisim|vcomponentsOBUFT_LVTTL_F_16|unisim|vcomponentsOBUFT_LVTTL_F_24|unisim|vcomponentsOBUFT_LVTTL_F_2|unisim|vcomponentsOBUFT_LVTTL_F_4|unisim|vcomponentsOBUFT_LVTTL_F_6|unisim|vcomponentsOBUFT_LVTTL_F_8|unisim|vcomponentsOBUFT_LVTTL_S_12|unisim|vcomponentsOBUFT_LVTTL_S_16|unisim|vcomponentsOBUFT_LVTTL_S_24|unisim|vcomponentsOBUFT_LVTTL_S_2|unisim|vcomponentsOBUFT_LVTTL_S_4|unisim|vcomponentsOBUFT_LVTTL_S_6|unisim|vcomponentsOBUFT_LVTTL_S_8|unisim|vcomponentsOBUFT_LVTTL|unisim|vcomponentsOBUFT_PCI33_3|unisim|vcomponentsOBUFT_PCI33_5|unisim|vcomponentsOBUFT_PCI66_3|unisim|vcomponentsOBUFT_PCIX66_3|unisim|vcomponentsOBUFT_PCIX|unisim|vcomponentsOBUFT_SSTL18_II_DCI|unisim|vcomponentsOBUFT_SSTL18_II|unisim|vcomponentsOBUFT_SSTL18_I_DCI|unisim|vcomponentsOBUFT_SSTL18_I|unisim|vcomponentsOBUFT_SSTL2_II_DCI|unisim|vcomponentsOBUFT_SSTL2_II|unisim|vcomponentsOBUFT_SSTL2_I_DCI|unisim|vcomponentsOBUFT_SSTL2_I|unisim|vcomponentsOBUFT_SSTL3_II_DCI|unisim|vcomponentsOBUFT_SSTL3_II|unisim|vcomponentsOBUFT_SSTL3_I_DCI|unisim|vcomponentsOBUFT_SSTL3_I|unisim|vcomponentsOBUFT_S_12|unisim|vcomponentsOBUFT_S_16|unisim|vcomponentsOBUFT_S_24|unisim|vcomponentsOBUFT_S_2|unisim|vcomponentsOBUFT_S_4|unisim|vcomponentsOBUFT_S_6|unisim|vcomponentsOBUFT_S_8|unisim|vcomponentsOBUFT|unisim|vcomponentsOBUF_AGP|unisim|vcomponentsOBUF_CTT|unisim|vcomponentsOBUF_F_12|unisim|vcomponentsOBUF_F_16|unisim|vcomponentsOBUF_F_24|unisim|vcomponentsOBUF_F_2|unisim|vcomponentsOBUF_F_4|unisim|vcomponentsOBUF_F_6|unisim|vcomponentsOBUF_F_8|unisim|vcomponentsOBUF_GTLP_DCI|unisim|vcomponentsOBUF_GTLP|unisim|vcomponentsOBUF_GTL_DCI|unisim|vcomponentsOBUF_GTL|unisim|vcomponentsOBUF_HSTL_III_18|unisim|vcomponentsOBUF_HSTL_III_DCI_18|unisim|vcomponentsOBUF_HSTL_III_DCI|unisim|vcomponentsOBUF_HSTL_III|unisim|vcomponentsOBUF_HSTL_II_18|unisim|vcomponentsOBUF_HSTL_II_DCI_18|unisim|vcomponentsOBUF_HSTL_II_DCI|unisim|vcomponentsOBUF_HSTL_II|unisim|vcomponentsOBUF_HSTL_IV_18|unisim|vcomponentsOBUF_HSTL_IV_DCI_18|unisim|vcomponentsOBUF_HSTL_IV_DCI|unisim|vcomponentsOBUF_HSTL_IV|unisim|vcomponentsOBUF_HSTL_I_18|unisim|vcomponentsOBUF_HSTL_I_DCI_18|unisim|vcomponentsOBUF_HSTL_I_DCI|unisim|vcomponentsOBUF_HSTL_I|unisim|vcomponentsOBUF_LVCMOS12_F_2|unisim|vcomponentsOBUF_LVCMOS12_F_4|unisim|vcomponentsOBUF_LVCMOS12_F_6|unisim|vcomponentsOBUF_LVCMOS12_F_8|unisim|vcomponentsOBUF_LVCMOS12_S_2|unisim|vcomponentsOBUF_LVCMOS12_S_4|unisim|vcomponentsOBUF_LVCMOS12_S_6|unisim|vcomponentsOBUF_LVCMOS12_S_8|unisim|vcomponentsOBUF_LVCMOS12|unisim|vcomponentsOBUF_LVCMOS15_F_12|unisim|vcomponentsOBUF_LVCMOS15_F_16|unisim|vcomponentsOBUF_LVCMOS15_F_2|unisim|vcomponentsOBUF_LVCMOS15_F_4|unisim|vcomponentsOBUF_LVCMOS15_F_6|unisim|vcomponentsOBUF_LVCMOS15_F_8|unisim|vcomponentsOBUF_LVCMOS15_S_12|unisim|vcomponentsOBUF_LVCMOS15_S_16|unisim|vcomponentsOBUF_LVCMOS15_S_2|unisim|vcomponentsOBUF_LVCMOS15_S_4|unisim|vcomponentsOBUF_LVCMOS15_S_6|unisim|vcomponentsOBUF_LVCMOS15_S_8|unisim|vcomponentsOBUF_LVCMOS15|unisim|vcomponentsOBUF_LVCMOS18_F_12|unisim|vcomponentsOBUF_LVCMOS18_F_16|unisim|vcomponentsOBUF_LVCMOS18_F_2|unisim|vcomponentsOBUF_LVCMOS18_F_4|unisim|vcomponentsOBUF_LVCMOS18_F_6|unisim|vcomponentsOBUF_LVCMOS18_F_8|unisim|vcomponentsOBUF_LVCMOS18_S_12|unisim|vcomponentsOBUF_LVCMOS18_S_16|unisim|vcomponentsOBUF_LVCMOS18_S_2|unisim|vcomponentsOBUF_LVCMOS18_S_4|unisim|vcomponentsOBUF_LVCMOS18_S_6|unisim|vcomponentsOBUF_LVCMOS18_S_8|unisim|vcomponentsOBUF_LVCMOS18|unisim|vcomponentsOBUF_LVCMOS25_F_12|unisim|vcomponentsOBUF_LVCMOS25_F_16|unisim|vcomponentsOBUF_LVCMOS25_F_24|unisim|vcomponentsOBUF_LVCMOS25_F_2|unisim|vcomponentsOBUF_LVCMOS25_F_4|unisim|vcomponentsOBUF_LVCMOS25_F_6|unisim|vcomponentsOBUF_LVCMOS25_F_8|unisim|vcomponentsOBUF_LVCMOS25_S_12|unisim|vcomponentsOBUF_LVCMOS25_S_16|unisim|vcomponentsOBUF_LVCMOS25_S_24|unisim|vcomponentsOBUF_LVCMOS25_S_2|unisim|vcomponentsOBUF_LVCMOS25_S_4|unisim|vcomponentsOBUF_LVCMOS25_S_6|unisim|vcomponentsOBUF_LVCMOS25_S_8|unisim|vcomponentsOBUF_LVCMOS25|unisim|vcomponentsOBUF_LVCMOS2|unisim|vcomponentsOBUF_LVCMOS33_F_12|unisim|vcomponentsOBUF_LVCMOS33_F_16|unisim|vcomponentsOBUF_LVCMOS33_F_24|unisim|vcomponentsOBUF_LVCMOS33_F_2|unisim|vcomponentsOBUF_LVCMOS33_F_4|unisim|vcomponentsOBUF_LVCMOS33_F_6|unisim|vcomponentsOBUF_LVCMOS33_F_8|unisim|vcomponentsOBUF_LVCMOS33_S_12|unisim|vcomponentsOBUF_LVCMOS33_S_16|unisim|vcomponentsOBUF_LVCMOS33_S_24|unisim|vcomponentsOBUF_LVCMOS33_S_2|unisim|vcomponentsOBUF_LVCMOS33_S_4|unisim|vcomponentsOBUF_LVCMOS33_S_6|unisim|vcomponentsOBUF_LVCMOS33_S_8|unisim|vcomponentsOBUF_LVCMOS33|unisim|vcomponentsOBUF_LVDCI_15|unisim|vcomponentsOBUF_LVDCI_18|unisim|vcomponentsOBUF_LVDCI_25|unisim|vcomponentsOBUF_LVDCI_33|unisim|vcomponentsOBUF_LVDCI_DV2_15|unisim|vcomponentsOBUF_LVDCI_DV2_18|unisim|vcomponentsOBUF_LVDCI_DV2_25|unisim|vcomponentsOBUF_LVDCI_DV2_33|unisim|vcomponentsOBUF_LVDS|unisim|vcomponentsOBUF_LVPECL|unisim|vcomponentsOBUF_LVTTL_F_12|unisim|vcomponentsOBUF_LVTTL_F_16|unisim|vcomponentsOBUF_LVTTL_F_24|unisim|vcomponentsOBUF_LVTTL_F_2|unisim|vcomponentsOBUF_LVTTL_F_4|unisim|vcomponentsOBUF_LVTTL_F_6|unisim|vcomponentsOBUF_LVTTL_F_8|unisim|vcomponentsOBUF_LVTTL_S_12|unisim|vcomponentsOBUF_LVTTL_S_16|unisim|vcomponentsOBUF_LVTTL_S_24|unisim|vcomponentsOBUF_LVTTL_S_2|unisim|vcomponentsOBUF_LVTTL_S_4|unisim|vcomponentsOBUF_LVTTL_S_6|unisim|vcomponentsOBUF_LVTTL_S_8|unisim|vcomponentsOBUF_LVTTL|unisim|vcomponentsOBUF_PCI33_3|unisim|vcomponentsOBUF_PCI33_5|unisim|vcomponentsOBUF_PCI66_3|unisim|vcomponentsOBUF_PCIX66_3|unisim|vcomponentsOBUF_PCIX|unisim|vcomponentsOBUF_SSTL18_II_DCI|unisim|vcomponentsOBUF_SSTL18_II|unisim|vcomponentsOBUF_SSTL18_I_DCI|unisim|vcomponentsOBUF_SSTL18_I|unisim|vcomponentsOBUF_SSTL2_II_DCI|unisim|vcomponentsOBUF_SSTL2_II|unisim|vcomponentsOBUF_SSTL2_I_DCI|unisim|vcomponentsOBUF_SSTL2_I|unisim|vcomponentsOBUF_SSTL3_II_DCI|unisim|vcomponentsOBUF_SSTL3_II|unisim|vcomponentsOBUF_SSTL3_I_DCI|unisim|vcomponentsOBUF_SSTL3_I|unisim|vcomponentsOBUF_S_12|unisim|vcomponentsOBUF_S_16|unisim|vcomponentsOBUF_S_24|unisim|vcomponentsOBUF_S_2|unisim|vcomponentsOBUF_S_4|unisim|vcomponentsOBUF_S_6|unisim|vcomponentsOBUF_S_8|unisim|vcomponentsOBUF|unisim|vcomponentsODDR2|unisim|vcomponentsODDR|unisim|vcomponentsOFDDRCPE|unisim|vcomponentsOFDDRRSE|unisim|vcomponentsOFDDRTCPE|unisim|vcomponentsOFDDRTRSE|unisim|vcomponentsOPT_OFF|unisim|vcomponentsOPT_UIM|unisim|vcomponentsOR2B1|unisim|vcomponentsOR2B2|unisim|vcomponentsOR2|unisim|vcomponentsOR3B1|unisim|vcomponentsOR3B2|unisim|vcomponentsOR3B3|unisim|vcomponentsOR3|unisim|vcomponentsOR4B1|unisim|vcomponentsOR4B2|unisim|vcomponentsOR4B3|unisim|vcomponentsOR4B4|unisim|vcomponentsOR4|unisim|vcomponentsOR5B1|unisim|vcomponentsOR5B2|unisim|vcomponentsOR5B3|unisim|vcomponentsOR5B4|unisim|vcomponentsOR5B5|unisim|vcomponentsOR5|unisim|vcomponentsOR6|unisim|vcomponentsOR7|unisim|vcomponentsOR8|unisim|vcomponentsORCY|unisim|vcomponentsOSERDES|unisim|vcomponentsPCIE_EP|unisim|vcomponentsPCIE_INTERNAL_1_1|unisim|vcomponentsPLL_ADV|unisim|vcomponentsPLL_BASE|unisim|vcomponentsPMCD|unisim|vcomponentsPPC405_ADV|unisim|vcomponentsPPC405|unisim|vcomponentsPULLDOWN|unisim|vcomponentsPULLUP|unisim|vcomponentsRAM128X1D|unisim|vcomponentsRAM128X1S_1|unisim|vcomponentsRAM128X1S|unisim|vcomponentsRAM16X1D_1|unisim|vcomponentsRAM16X1D|unisim|vcomponentsRAM16X1S_1|unisim|vcomponentsRAM16X1S|unisim|vcomponentsRAM16X2S|unisim|vcomponentsRAM16X4S|unisim|vcomponentsRAM16X8S|unisim|vcomponentsRAM256X1S|unisim|vcomponentsRAM32M|unisim|vcomponentsRAM32X1D_1|unisim|vcomponentsRAM32X1D|unisim|vcomponentsRAM32X1S_1|unisim|vcomponentsRAM32X1S|unisim|vcomponentsRAM32X2S|unisim|vcomponentsRAM32X4S|unisim|vcomponentsRAM32X8S|unisim|vcomponentsRAM64M|unisim|vcomponentsRAM64X1D_1|unisim|vcomponentsRAM64X1D|unisim|vcomponentsRAM64X1S_1|unisim|vcomponentsRAM64X1S|unisim|vcomponentsRAM64X2S|unisim|vcomponentsRAMB16BWER|unisim|vcomponentsRAMB16BWE_S18_S18|unisim|vcomponentsRAMB16BWE_S18_S9|unisim|vcomponentsRAMB16BWE_S18|unisim|vcomponentsRAMB16BWE_S36_S18|unisim|vcomponentsRAMB16BWE_S36_S36|unisim|vcomponentsRAMB16BWE_S36_S9|unisim|vcomponentsRAMB16BWE_S36|unisim|vcomponentsRAMB16BWE|unisim|vcomponentsRAMB16_S18_S18|unisim|vcomponentsRAMB16_S18_S36|unisim|vcomponentsRAMB16_S18|unisim|vcomponentsRAMB16_S1_S18|unisim|vcomponentsRAMB16_S1_S1|unisim|vcomponentsRAMB16_S1_S2|unisim|vcomponentsRAMB16_S1_S36|unisim|vcomponentsRAMB16_S1_S4|unisim|vcomponentsRAMB16_S1_S9|unisim|vcomponentsRAMB16_S1|unisim|vcomponentsRAMB16_S2_S18|unisim|vcomponentsRAMB16_S2_S2|unisim|vcomponentsRAMB16_S2_S36|unisim|vcomponentsRAMB16_S2_S4|unisim|vcomponentsRAMB16_S2_S9|unisim|vcomponentsRAMB16_S2|unisim|vcomponentsRAMB16_S36_S36|unisim|vcomponentsRAMB16_S36|unisim|vcomponentsRAMB16_S4_S18|unisim|vcomponentsRAMB16_S4_S36|unisim|vcomponentsRAMB16_S4_S4|unisim|vcomponentsRAMB16_S4_S9|unisim|vcomponentsRAMB16_S4|unisim|vcomponentsRAMB16_S9_S18|unisim|vcomponentsRAMB16_S9_S36|unisim|vcomponentsRAMB16_S9_S9|unisim|vcomponentsRAMB16_S9|unisim|vcomponentsRAMB16|unisim|vcomponentsRAMB18SDP|unisim|vcomponentsRAMB18|unisim|vcomponentsRAMB32_S64_ECC|unisim|vcomponentsRAMB36SDP_EXP|unisim|vcomponentsRAMB36SDP|unisim|vcomponentsRAMB36_EXP|unisim|vcomponentsRAMB36|unisim|vcomponentsRAMB4_S16_S16|unisim|vcomponentsRAMB4_S16|unisim|vcomponentsRAMB4_S1_S16|unisim|vcomponentsRAMB4_S1_S1|unisim|vcomponentsRAMB4_S1_S2|unisim|vcomponentsRAMB4_S1_S4|unisim|vcomponentsRAMB4_S1_S8|unisim|vcomponentsRAMB4_S1|unisim|vcomponentsRAMB4_S2_S16|unisim|vcomponentsRAMB4_S2_S2|unisim|vcomponentsRAMB4_S2_S4|unisim|vcomponentsRAMB4_S2_S8|unisim|vcomponentsRAMB4_S2|unisim|vcomponentsRAMB4_S4_S16|unisim|vcomponentsRAMB4_S4_S4|unisim|vcomponentsRAMB4_S4_S8|unisim|vcomponentsRAMB4_S4|unisim|vcomponentsRAMB4_S8_S16|unisim|vcomponentsRAMB4_S8_S8|unisim|vcomponentsRAMB4_S8|unisim|vcomponentsROCBUF|unisim|vcomponentsROC|unisim|vcomponentsROM128X1|unisim|vcomponentsROM16X1|unisim|vcomponentsROM256X1|unisim|vcomponentsROM32X1|unisim|vcomponentsROM64X1|unisim|vcomponentsSPI_ACCESS|unisim|vcomponentsSRL16E_1|unisim|vcomponentsSRL16E|unisim|vcomponentsSRL16_1|unisim|vcomponentsSRL16|unisim|vcomponentsSRLC16E_1|unisim|vcomponentsSRLC16E|unisim|vcomponentsSRLC16_1|unisim|vcomponentsSRLC16|unisim|vcomponentsSRLC32E|unisim|vcomponentsSTARTBUF_FPGACORE|unisim|vcomponentsSTARTBUF_SPARTAN2|unisim|vcomponentsSTARTBUF_SPARTAN3|unisim|vcomponentsSTARTBUF_VIRTEX2|unisim|vcomponentsSTARTBUF_VIRTEX4|unisim|vcomponentsSTARTBUF_VIRTEX|unisim|vcomponentsSTARTUP_FPGACORE|unisim|vcomponentsSTARTUP_SPARTAN2|unisim|vcomponentsSTARTUP_SPARTAN3A|unisim|vcomponentsSTARTUP_SPARTAN3E|unisim|vcomponentsSTARTUP_SPARTAN3|unisim|vcomponentsSTARTUP_VIRTEX2|unisim|vcomponentsSTARTUP_VIRTEX4|unisim|vcomponentsSTARTUP_VIRTEX5|unisim|vcomponentsSTARTUP_VIRTEX|unisim|vcomponentsSYSMON|unisim|vcomponentsTBLOCK|unisim|vcomponentsTEMAC|unisim|vcomponentsTIMEGRP|unisim|vcomponentsTIMESPEC|unisim|vcomponentsTOCBUF|unisim|vcomponentsTOC|unisim|vcomponentsUSR_ACCESS_VIRTEX4|unisim|vcomponentsUSR_ACCESS_VIRTEX5|unisim|vcomponentsVCC|unisim|vcomponentsWIREAND|unisim|vcomponentsXNOR2|unisim|vcomponentsXNOR3|unisim|vcomponentsXNOR4|unisim|vcomponentsXNOR5|unisim|vcomponentsXOR2|unisim|vcomponentsXOR3|unisim|vcomponentsXOR4|unisim|vcomponentsXOR5|unisim|vcomponentsXORCY_D|unisim|vcomponentsXORCY_L|unisim|vcomponentsXORCY|unisim|vcomponentsX_AND16|simprim|vcomponentsX_AND2|simprim|vcomponentsX_AND32|simprim|vcomponentsX_AND3|simprim|vcomponentsX_AND4|simprim|vcomponentsX_AND5|simprim|vcomponentsX_AND6|simprim|vcomponentsX_AND7|simprim|vcomponentsX_AND8|simprim|vcomponentsX_AND9|simprim|vcomponentsX_BPAD|simprim|vcomponentsX_BSCAN_FPGACORE|simprim|vcomponentsX_BSCAN_SPARTAN2|simprim|vcomponentsX_BSCAN_SPARTAN3A|simprim|vcomponentsX_BSCAN_SPARTAN3|simprim|vcomponentsX_BSCAN_VIRTEX2|simprim|vcomponentsX_BSCAN_VIRTEX4|simprim|vcomponentsX_BSCAN_VIRTEX5|simprim|vcomponentsX_BSCAN_VIRTEX|simprim|vcomponentsX_BUFGCTRL|simprim|vcomponentsX_BUFGMUX_1|simprim|vcomponentsX_BUFGMUX|simprim|vcomponentsX_BUFR|simprim|vcomponentsX_BUF|simprim|vcomponentsX_CARRY4|simprim|vcomponentsX_CKBUF|simprim|vcomponentsX_CLKDLLE|simprim|vcomponentsX_CLKDLL|simprim|vcomponentsX_CLK_DIV|simprim|vcomponentsX_CRC32|simprim|vcomponentsX_CRC64|simprim|vcomponentsX_DCM_ADV|simprim|vcomponentsX_DCM_SP|simprim|vcomponentsX_DCM|simprim|vcomponentsX_DNA_PORT|simprim|vcomponentsX_DSP48A|simprim|vcomponentsX_DSP48E|simprim|vcomponentsX_DSP48|simprim|vcomponentsX_EMAC|simprim|vcomponentsX_FDDRCPE|simprim|vcomponentsX_FDDRRSE|simprim|vcomponentsX_FDD|simprim|vcomponentsX_FF_CPLD|simprim|vcomponentsX_FF|simprim|vcomponentsX_FIFO16|simprim|vcomponentsX_FIFO18_36|simprim|vcomponentsX_FIFO18|simprim|vcomponentsX_FIFO36_72_EXP|simprim|vcomponentsX_FIFO36_EXP|simprim|vcomponentsX_GT10|simprim|vcomponentsX_GT11CLK|simprim|vcomponentsX_GT11|simprim|vcomponentsX_GTP_DUAL|simprim|vcomponentsX_GT|simprim|vcomponentsX_IBUFDS_DLY_ADJ|simprim|vcomponentsX_IBUFDS|simprim|vcomponentsX_IBUF_DLY_ADJ|simprim|vcomponentsX_IDDR2|simprim|vcomponentsX_IDDR_2CLK|simprim|vcomponentsX_IDDR|simprim|vcomponentsX_IDELAYCTRL|simprim|vcomponentsX_IDELAY|simprim|vcomponentsX_INV|simprim|vcomponentsX_IODELAY|simprim|vcomponentsX_IPAD|simprim|vcomponentsX_ISERDES_NODELAY|simprim|vcomponentsX_ISERDES|simprim|vcomponentsX_KEEPER|simprim|vcomponentsX_LATCHE|simprim|vcomponentsX_LATCH_CPLD|simprim|vcomponentsX_LATCH|simprim|vcomponentsX_LUT2|simprim|vcomponentsX_LUT3|simprim|vcomponentsX_LUT4|simprim|vcomponentsX_LUT5|simprim|vcomponentsX_LUT6_2|simprim|vcomponentsX_LUT6|simprim|vcomponentsX_LUT7|simprim|vcomponentsX_LUT8|simprim|vcomponentsX_MULT18X18SIO|simprim|vcomponentsX_MULT18X18S|simprim|vcomponentsX_MULT18X18|simprim|vcomponentsX_MUX2|simprim|vcomponentsX_MUXDDR|simprim|vcomponentsX_OBUFDS|simprim|vcomponentsX_OBUFTDS|simprim|vcomponentsX_OBUFT|simprim|vcomponentsX_OBUF|simprim|vcomponentsX_ODDR2|simprim|vcomponentsX_ODDR|simprim|vcomponentsX_ONE|simprim|vcomponentsX_OPAD|simprim|vcomponentsX_OR16|simprim|vcomponentsX_OR2|simprim|vcomponentsX_OR32|simprim|vcomponentsX_OR3|simprim|vcomponentsX_OR4|simprim|vcomponentsX_OR5|simprim|vcomponentsX_OR6|simprim|vcomponentsX_OR7|simprim|vcomponentsX_OR8|simprim|vcomponentsX_OR9|simprim|vcomponentsX_OSERDES|simprim|vcomponentsX_PCIE_INTERNAL_1_1|simprim|vcomponentsX_PD|simprim|vcomponentsX_PLL_ADV|simprim|vcomponentsX_PMCD|simprim|vcomponentsX_PPC405_ADV|simprim|vcomponentsX_PPC405|simprim|vcomponentsX_PU|simprim|vcomponentsX_RAM32M|simprim|vcomponentsX_RAM64M|simprim|vcomponentsX_RAMB16BWER|simprim|vcomponentsX_RAMB16BWE|simprim|vcomponentsX_RAMB16_S18_S18|simprim|vcomponentsX_RAMB16_S18_S36|simprim|vcomponentsX_RAMB16_S18|simprim|vcomponentsX_RAMB16_S1_S18|simprim|vcomponentsX_RAMB16_S1_S1|simprim|vcomponentsX_RAMB16_S1_S2|simprim|vcomponentsX_RAMB16_S1_S36|simprim|vcomponentsX_RAMB16_S1_S4|simprim|vcomponentsX_RAMB16_S1_S9|simprim|vcomponentsX_RAMB16_S1|simprim|vcomponentsX_RAMB16_S2_S18|simprim|vcomponentsX_RAMB16_S2_S2|simprim|vcomponentsX_RAMB16_S2_S36|simprim|vcomponentsX_RAMB16_S2_S4|simprim|vcomponentsX_RAMB16_S2_S9|simprim|vcomponentsX_RAMB16_S2|simprim|vcomponentsX_RAMB16_S36_S36|simprim|vcomponentsX_RAMB16_S36|simprim|vcomponentsX_RAMB16_S4_S18|simprim|vcomponentsX_RAMB16_S4_S36|simprim|vcomponentsX_RAMB16_S4_S4|simprim|vcomponentsX_RAMB16_S4_S9|simprim|vcomponentsX_RAMB16_S4|simprim|vcomponentsX_RAMB16_S9_S18|simprim|vcomponentsX_RAMB16_S9_S36|simprim|vcomponentsX_RAMB16_S9_S9|simprim|vcomponentsX_RAMB16_S9|simprim|vcomponentsX_RAMB16|simprim|vcomponentsX_RAMB18SDP|simprim|vcomponentsX_RAMB18|simprim|vcomponentsX_RAMB36SDP_EXP|simprim|vcomponentsX_RAMB36_EXP|simprim|vcomponentsX_RAMB4_S16_S16|simprim|vcomponentsX_RAMB4_S16|simprim|vcomponentsX_RAMB4_S1_S16|simprim|vcomponentsX_RAMB4_S1_S1|simprim|vcomponentsX_RAMB4_S1_S2|simprim|vcomponentsX_RAMB4_S1_S4|simprim|vcomponentsX_RAMB4_S1_S8|simprim|vcomponentsX_RAMB4_S1|simprim|vcomponentsX_RAMB4_S2_S16|simprim|vcomponentsX_RAMB4_S2_S2|simprim|vcomponentsX_RAMB4_S2_S4|simprim|vcomponentsX_RAMB4_S2_S8|simprim|vcomponentsX_RAMB4_S2|simprim|vcomponentsX_RAMB4_S4_S16|simprim|vcomponentsX_RAMB4_S4_S4|simprim|vcomponentsX_RAMB4_S4_S8|simprim|vcomponentsX_RAMB4_S4|simprim|vcomponentsX_RAMB4_S8_S16|simprim|vcomponentsX_RAMB4_S8_S8|simprim|vcomponentsX_RAMB4_S8|simprim|vcomponentsX_RAMD128|simprim|vcomponentsX_RAMD16|simprim|vcomponentsX_RAMD32|simprim|vcomponentsX_RAMD64_ADV|simprim|vcomponentsX_RAMD64|simprim|vcomponentsX_RAMS128|simprim|vcomponentsX_RAMS16|simprim|vcomponentsX_RAMS256|simprim|vcomponentsX_RAMS32|simprim|vcomponentsX_RAMS64_ADV|simprim|vcomponentsX_RAMS64|simprim|vcomponentsX_ROCBUF|simprim|vcomponentsX_ROC|simprim|vcomponentsX_SFF|simprim|vcomponentsX_SPI_ACCESS|simprim|vcomponentsX_SRL16E|simprim|vcomponentsX_SRLC16E|simprim|vcomponentsX_SRLC32E|simprim|vcomponentsX_SUH|simprim|vcomponentsX_SYSMON|simprim|vcomponentsX_TEMAC|simprim|vcomponentsX_TOCBUF|simprim|vcomponentsX_TOC|simprim|vcomponentsX_TRI|simprim|vcomponentsX_UPAD|simprim|vcomponentsX_XOR16|simprim|vcomponentsX_XOR2|simprim|vcomponentsX_XOR32|simprim|vcomponentsX_XOR3|simprim|vcomponentsX_XOR4|simprim|vcomponentsX_XOR5|simprim|vcomponentsX_XOR6|simprim|vcomponentsX_XOR7|simprim|vcomponentsX_XOR8|simprim|vcomponentsX_ZERO|simprim|vcomponentsand2b1|unisim|vcomponentsand2b2|unisim|vcomponentsand2|unisim|vcomponentsand3b1|unisim|vcomponentsand3b2|unisim|vcomponentsand3b3|unisim|vcomponentsand3|unisim|vcomponentsand4b1|unisim|vcomponentsand4b2|unisim|vcomponentsand4b3|unisim|vcomponentsand4b4|unisim|vcomponentsand4|unisim|vcomponentsand5b1|unisim|vcomponentsand5b2|unisim|vcomponentsand5b3|unisim|vcomponentsand5b4|unisim|vcomponentsand5b5|unisim|vcomponentsand5|unisim|vcomponentsand6|unisim|vcomponentsand7|unisim|vcomponentsand8|unisim|vcomponentsbscan_fpgacore|unisim|vcomponentsbscan_spartan2|unisim|vcomponentsbscan_spartan3a|unisim|vcomponentsbscan_spartan3|unisim|vcomponentsbscan_virtex2|unisim|vcomponentsbscan_virtex4|unisim|vcomponentsbscan_virtex5|unisim|vcomponentsbscan_virtex|unisim|vcomponentsbufcf|unisim|vcomponentsbufe|unisim|vcomponentsbuffoe|unisim|vcomponentsbufgce_1|unisim|vcomponentsbufgce|unisim|vcomponentsbufgctrl|unisim|vcomponentsbufgdll|unisim|vcomponentsbufgmux_1|unisim|vcomponentsbufgmux_ctrl|unisim|vcomponentsbufgmux_virtex4|unisim|vcomponentsbufgmux|unisim|vcomponentsbufgp|unisim|vcomponentsbufgsr|unisim|vcomponentsbufgts|unisim|vcomponentsbufg|unisim|vcomponentsbufio|unisim|vcomponentsbufr|unisim|vcomponentsbuft|unisim|vcomponentsbuf|unisim|vcomponentscapture_fpgacore|unisim|vcomponentscapture_spartan2|unisim|vcomponentscapture_spartan3a|unisim|vcomponentscapture_spartan3|unisim|vcomponentscapture_virtex2|unisim|vcomponentscapture_virtex4|unisim|vcomponentscapture_virtex5|unisim|vcomponentscapture_virtex|unisim|vcomponentscarry4|unisim|vcomponentscfglut5|unisim|vcomponentsclk_div10rsd|unisim|vcomponentsclk_div10r|unisim|vcomponentsclk_div10sd|unisim|vcomponentsclk_div10|unisim|vcomponentsclk_div12rsd|unisim|vcomponentsclk_div12r|unisim|vcomponentsclk_div12sd|unisim|vcomponentsclk_div12|unisim|vcomponentsclk_div14rsd|unisim|vcomponentsclk_div14r|unisim|vcomponentsclk_div14sd|unisim|vcomponentsclk_div14|unisim|vcomponentsclk_div16rsd|unisim|vcomponentsclk_div16r|unisim|vcomponentsclk_div16sd|unisim|vcomponentsclk_div16|unisim|vcomponentsclk_div2rsd|unisim|vcomponentsclk_div2r|unisim|vcomponentsclk_div2sd|unisim|vcomponentsclk_div2|unisim|vcomponentsclk_div4rsd|unisim|vcomponentsclk_div4r|unisim|vcomponentsclk_div4sd|unisim|vcomponentsclk_div4|unisim|vcomponentsclk_div6rsd|unisim|vcomponentsclk_div6r|unisim|vcomponentsclk_div6sd|unisim|vcomponentsclk_div6|unisim|vcomponentsclk_div8rsd|unisim|vcomponentsclk_div8r|unisim|vcomponentsclk_div8sd|unisim|vcomponentsclk_div8|unisim|vcomponentsclkdlle|unisim|vcomponentsclkdllhf|unisim|vcomponentsclkdll|unisim|vcomponentsconfig|unisim|vcomponentscrc32|unisim|vcomponentscrc64|unisim|vcomponentsdcc_fpgacore|unisim|vcomponentsdcireset|unisim|vcomponentsdcm_adv|unisim|vcomponentsdcm_base|unisim|vcomponentsdcm_ps|unisim|vcomponentsdcm_sp|unisim|vcomponentsdcm|unisim|vcomponentsdna_port|unisim|vcomponentsdsp48a|unisim|vcomponentsdsp48e|unisim|vcomponentsdsp48|unisim|vcomponentsemac|unisim|vcomponentsfd_1|unisim|vcomponentsfdc_1|unisim|vcomponentsfdce_1|unisim|vcomponentsfdce|unisim|vcomponentsfdcp_1|unisim|vcomponentsfdcpe_1|unisim|vcomponentsfdcpe|unisim|vcomponentsfdcpx1|unisim|vcomponentsfdcp|unisim|vcomponentsfdc|unisim|vcomponentsfddce|unisim|vcomponentsfddcpe|unisim|vcomponentsfddcp|unisim|vcomponentsfddc|unisim|vcomponentsfddpe|unisim|vcomponentsfddp|unisim|vcomponentsfddrcpe|unisim|vcomponentsfddrrse|unisim|vcomponentsfdd|unisim|vcomponentsfde_1|unisim|vcomponentsfde|unisim|vcomponentsfdp_1|unisim|vcomponentsfdpe_1|unisim|vcomponentsfdpe|unisim|vcomponentsfdp|unisim|vcomponentsfdr_1|unisim|vcomponentsfdre_1|unisim|vcomponentsfdre|unisim|vcomponentsfdrs_1|unisim|vcomponentsfdrse_1|unisim|vcomponentsfdrse|unisim|vcomponentsfdrs|unisim|vcomponentsfdr|unisim|vcomponentsfds_1|unisim|vcomponentsfdse_1|unisim|vcomponentsfdse|unisim|vcomponentsfds|unisim|vcomponentsfd|unisim|vcomponentsfifo16|unisim|vcomponentsfifo18_36|unisim|vcomponentsfifo18|unisim|vcomponentsfifo36_72_exp|unisim|vcomponentsfifo36_72|unisim|vcomponentsfifo36_exp|unisim|vcomponentsfifo36|unisim|vcomponentsfmap|unisim|vcomponentsframe_ecc_virtex4|unisim|vcomponentsframe_ecc_virtex5|unisim|vcomponentsftcp|unisim|vcomponentsftc|unisim|vcomponentsftp|unisim|vcomponentsgnd|unisim|vcomponentsgt10_10ge_4|unisim|vcomponentsgt10_10ge_8|unisim|vcomponentsgt10_10gfc_4|unisim|vcomponentsgt10_10gfc_8|unisim|vcomponentsgt10_aurora_1|unisim|vcomponentsgt10_aurora_2|unisim|vcomponentsgt10_aurora_4|unisim|vcomponentsgt10_aurorax_4|unisim|vcomponentsgt10_aurorax_8|unisim|vcomponentsgt10_custom|unisim|vcomponentsgt10_infiniband_1|unisim|vcomponentsgt10_infiniband_2|unisim|vcomponentsgt10_infiniband_4|unisim|vcomponentsgt10_oc192_4|unisim|vcomponentsgt10_oc192_8|unisim|vcomponentsgt10_oc48_1|unisim|vcomponentsgt10_oc48_2|unisim|vcomponentsgt10_oc48_4|unisim|vcomponentsgt10_pci_express_1|unisim|vcomponentsgt10_pci_express_2|unisim|vcomponentsgt10_pci_express_4|unisim|vcomponentsgt10_xaui_1|unisim|vcomponentsgt10_xaui_2|unisim|vcomponentsgt10_xaui_4|unisim|vcomponentsgt10|unisim|vcomponentsgt11_custom|unisim|vcomponentsgt11_dual|unisim|vcomponentsgt11clk_mgt|unisim|vcomponentsgt11clk|unisim|vcomponentsgt11|unisim|vcomponentsgt_aurora_1|unisim|vcomponentsgt_aurora_2|unisim|vcomponentsgt_aurora_4|unisim|vcomponentsgt_custom|unisim|vcomponentsgt_ethernet_1|unisim|vcomponentsgt_ethernet_2|unisim|vcomponentsgt_ethernet_4|unisim|vcomponentsgt_fibre_chan_1|unisim|vcomponentsgt_fibre_chan_2|unisim|vcomponentsgt_fibre_chan_4|unisim|vcomponentsgt_infiniband_1|unisim|vcomponentsgt_infiniband_2|unisim|vcomponentsgt_infiniband_4|unisim|vcomponentsgt_xaui_1|unisim|vcomponentsgt_xaui_2|unisim|vcomponentsgt_xaui_4|unisim|vcomponentsgtp_dual|unisim|vcomponentsgt|unisim|vcomponentsibuf_agp|unisim|vcomponentsibuf_ctt|unisim|vcomponentsibuf_dly_adj|unisim|vcomponentsibuf_gtl_dci|unisim|vcomponentsibuf_gtlp_dci|unisim|vcomponentsibuf_gtlp|unisim|vcomponentsibuf_gtl|unisim|vcomponentsibuf_hstl_i_18|unisim|vcomponentsibuf_hstl_i_dci_18|unisim|vcomponentsibuf_hstl_i_dci|unisim|vcomponentsibuf_hstl_ii_18|unisim|vcomponentsibuf_hstl_ii_dci_18|unisim|vcomponentsibuf_hstl_ii_dci|unisim|vcomponentsibuf_hstl_iii_18|unisim|vcomponentsibuf_hstl_iii_dci_18|unisim|vcomponentsibuf_hstl_iii_dci|unisim|vcomponentsibuf_hstl_iii|unisim|vcomponentsibuf_hstl_ii|unisim|vcomponentsibuf_hstl_iv_18|unisim|vcomponentsibuf_hstl_iv_dci_18|unisim|vcomponentsibuf_hstl_iv_dci|unisim|vcomponentsibuf_hstl_iv|unisim|vcomponentsibuf_hstl_i|unisim|vcomponentsibuf_lvcmos12|unisim|vcomponentsibuf_lvcmos15|unisim|vcomponentsibuf_lvcmos18|unisim|vcomponentsibuf_lvcmos25|unisim|vcomponentsibuf_lvcmos2|unisim|vcomponentsibuf_lvcmos33|unisim|vcomponentsibuf_lvdci_15|unisim|vcomponentsibuf_lvdci_18|unisim|vcomponentsibuf_lvdci_25|unisim|vcomponentsibuf_lvdci_33|unisim|vcomponentsibuf_lvdci_dv2_15|unisim|vcomponentsibuf_lvdci_dv2_18|unisim|vcomponentsibuf_lvdci_dv2_25|unisim|vcomponentsibuf_lvdci_dv2_33|unisim|vcomponentsibuf_lvds|unisim|vcomponentsibuf_lvpecl|unisim|vcomponentsibuf_lvttl|unisim|vcomponentsibuf_pci33_3|unisim|vcomponentsibuf_pci33_5|unisim|vcomponentsibuf_pci66_3|unisim|vcomponentsibuf_pcix66_3|unisim|vcomponentsibuf_pcix|unisim|vcomponentsibuf_sstl18_i_dci|unisim|vcomponentsibuf_sstl18_ii_dci|unisim|vcomponentsibuf_sstl18_ii|unisim|vcomponentsibuf_sstl18_i|unisim|vcomponentsibuf_sstl2_i_dci|unisim|vcomponentsibuf_sstl2_ii_dci|unisim|vcomponentsibuf_sstl2_ii|unisim|vcomponentsibuf_sstl2_i|unisim|vcomponentsibuf_sstl3_i_dci|unisim|vcomponentsibuf_sstl3_ii_dci|unisim|vcomponentsibuf_sstl3_ii|unisim|vcomponentsibuf_sstl3_i|unisim|vcomponentsibufds_blvds_25|unisim|vcomponentsibufds_diff_out|unisim|vcomponentsibufds_dly_adj|unisim|vcomponentsibufds_ldt_25|unisim|vcomponentsibufds_lvds_25_dci|unisim|vcomponentsibufds_lvds_25|unisim|vcomponentsibufds_lvds_33_dci|unisim|vcomponentsibufds_lvds_33|unisim|vcomponentsibufds_lvdsext_25_dci|unisim|vcomponentsibufds_lvdsext_25|unisim|vcomponentsibufds_lvdsext_33_dci|unisim|vcomponentsibufds_lvdsext_33|unisim|vcomponentsibufds_lvpecl_25|unisim|vcomponentsibufds_lvpecl_33|unisim|vcomponentsibufds_ulvds_25|unisim|vcomponentsibufds|unisim|vcomponentsibufg_agp|unisim|vcomponentsibufg_ctt|unisim|vcomponentsibufg_gtl_dci|unisim|vcomponentsibufg_gtlp_dci|unisim|vcomponentsibufg_gtlp|unisim|vcomponentsibufg_gtl|unisim|vcomponentsibufg_hstl_i_18|unisim|vcomponentsibufg_hstl_i_dci_18|unisim|vcomponentsibufg_hstl_i_dci|unisim|vcomponentsibufg_hstl_ii_18|unisim|vcomponentsibufg_hstl_ii_dci_18|unisim|vcomponentsibufg_hstl_ii_dci|unisim|vcomponentsibufg_hstl_iii_18|unisim|vcomponentsibufg_hstl_iii_dci_18|unisim|vcomponentsibufg_hstl_iii_dci|unisim|vcomponentsibufg_hstl_iii|unisim|vcomponentsibufg_hstl_ii|unisim|vcomponentsibufg_hstl_iv_18|unisim|vcomponentsibufg_hstl_iv_dci_18|unisim|vcomponentsibufg_hstl_iv_dci|unisim|vcomponentsibufg_hstl_iv|unisim|vcomponentsibufg_hstl_i|unisim|vcomponentsibufg_lvcmos12|unisim|vcomponentsibufg_lvcmos15|unisim|vcomponentsibufg_lvcmos18|unisim|vcomponentsibufg_lvcmos25|unisim|vcomponentsibufg_lvcmos2|unisim|vcomponentsibufg_lvcmos33|unisim|vcomponentsibufg_lvdci_15|unisim|vcomponentsibufg_lvdci_18|unisim|vcomponentsibufg_lvdci_25|unisim|vcomponentsibufg_lvdci_33|unisim|vcomponentsibufg_lvdci_dv2_15|unisim|vcomponentsibufg_lvdci_dv2_18|unisim|vcomponentsibufg_lvdci_dv2_25|unisim|vcomponentsibufg_lvdci_dv2_33|unisim|vcomponentsibufg_lvds|unisim|vcomponentsibufg_lvpecl|unisim|vcomponentsibufg_lvttl|unisim|vcomponentsibufg_pci33_3|unisim|vcomponentsibufg_pci33_5|unisim|vcomponentsibufg_pci66_3|unisim|vcomponentsibufg_pcix66_3|unisim|vcomponentsibufg_pcix|unisim|vcomponentsibufg_sstl18_i_dci|unisim|vcomponentsibufg_sstl18_ii_dci|unisim|vcomponentsibufg_sstl18_ii|unisim|vcomponentsibufg_sstl18_i|unisim|vcomponentsibufg_sstl2_i_dci|unisim|vcomponentsibufg_sstl2_ii_dci|unisim|vcomponentsibufg_sstl2_ii|unisim|vcomponentsibufg_sstl2_i|unisim|vcomponentsibufg_sstl3_i_dci|unisim|vcomponentsibufg_sstl3_ii_dci|unisim|vcomponentsibufg_sstl3_ii|unisim|vcomponentsibufg_sstl3_i|unisim|vcomponentsibufgds_blvds_25|unisim|vcomponentsibufgds_diff_out|unisim|vcomponentsibufgds_ldt_25|unisim|vcomponentsibufgds_lvds_25_dci|unisim|vcomponentsibufgds_lvds_25|unisim|vcomponentsibufgds_lvds_33_dci|unisim|vcomponentsibufgds_lvds_33|unisim|vcomponentsibufgds_lvdsext_25_dci|unisim|vcomponentsibufgds_lvdsext_25|unisim|vcomponentsibufgds_lvdsext_33_dci|unisim|vcomponentsibufgds_lvdsext_33|unisim|vcomponentsibufgds_lvpecl_25|unisim|vcomponentsibufgds_lvpecl_33|unisim|vcomponentsibufgds_ulvds_25|unisim|vcomponentsibufgds|unisim|vcomponentsibufg|unisim|vcomponentsibuf|unisim|vcomponentsicap_spartan3a|unisim|vcomponentsicap_virtex2|unisim|vcomponentsicap_virtex4|unisim|vcomponentsicap_virtex5|unisim|vcomponentsiddr2|unisim|vcomponentsiddr_2clk|unisim|vcomponentsiddr|unisim|vcomponentsidelayctrl|unisim|vcomponentsidelay|unisim|vcomponentsifddrcpe|unisim|vcomponentsifddrrse|unisim|vcomponentsild|unisim|vcomponentsinv|unisim|vcomponentsiobuf_agp|unisim|vcomponentsiobuf_ctt|unisim|vcomponentsiobuf_f_12|unisim|vcomponentsiobuf_f_16|unisim|vcomponentsiobuf_f_24|unisim|vcomponentsiobuf_f_2|unisim|vcomponentsiobuf_f_4|unisim|vcomponentsiobuf_f_6|unisim|vcomponentsiobuf_f_8|unisim|vcomponentsiobuf_gtl_dci|unisim|vcomponentsiobuf_gtlp_dci|unisim|vcomponentsiobuf_gtlp|unisim|vcomponentsiobuf_gtl|unisim|vcomponentsiobuf_hstl_i_18|unisim|vcomponentsiobuf_hstl_ii_18|unisim|vcomponentsiobuf_hstl_ii_dci_18|unisim|vcomponentsiobuf_hstl_ii_dci|unisim|vcomponentsiobuf_hstl_iii_18|unisim|vcomponentsiobuf_hstl_iii|unisim|vcomponentsiobuf_hstl_ii|unisim|vcomponentsiobuf_hstl_iv_18|unisim|vcomponentsiobuf_hstl_iv_dci_18|unisim|vcomponentsiobuf_hstl_iv_dci|unisim|vcomponentsiobuf_hstl_iv|unisim|vcomponentsiobuf_hstl_i|unisim|vcomponentsiobuf_lvcmos12_f_2|unisim|vcomponentsiobuf_lvcmos12_f_4|unisim|vcomponentsiobuf_lvcmos12_f_6|unisim|vcomponentsiobuf_lvcmos12_f_8|unisim|vcomponentsiobuf_lvcmos12_s_2|unisim|vcomponentsiobuf_lvcmos12_s_4|unisim|vcomponentsiobuf_lvcmos12_s_6|unisim|vcomponentsiobuf_lvcmos12_s_8|unisim|vcomponentsiobuf_lvcmos12|unisim|vcomponentsiobuf_lvcmos15_f_12|unisim|vcomponentsiobuf_lvcmos15_f_16|unisim|vcomponentsiobuf_lvcmos15_f_2|unisim|vcomponentsiobuf_lvcmos15_f_4|unisim|vcomponentsiobuf_lvcmos15_f_6|unisim|vcomponentsiobuf_lvcmos15_f_8|unisim|vcomponentsiobuf_lvcmos15_s_12|unisim|vcomponentsiobuf_lvcmos15_s_16|unisim|vcomponentsiobuf_lvcmos15_s_2|unisim|vcomponentsiobuf_lvcmos15_s_4|unisim|vcomponentsiobuf_lvcmos15_s_6|unisim|vcomponentsiobuf_lvcmos15_s_8|unisim|vcomponentsiobuf_lvcmos15|unisim|vcomponentsiobuf_lvcmos18_f_12|unisim|vcomponentsiobuf_lvcmos18_f_16|unisim|vcomponentsiobuf_lvcmos18_f_2|unisim|vcomponentsiobuf_lvcmos18_f_4|unisim|vcomponentsiobuf_lvcmos18_f_6|unisim|vcomponentsiobuf_lvcmos18_f_8|unisim|vcomponentsiobuf_lvcmos18_s_12|unisim|vcomponentsiobuf_lvcmos18_s_16|unisim|vcomponentsiobuf_lvcmos18_s_2|unisim|vcomponentsiobuf_lvcmos18_s_4|unisim|vcomponentsiobuf_lvcmos18_s_6|unisim|vcomponentsiobuf_lvcmos18_s_8|unisim|vcomponentsiobuf_lvcmos18|unisim|vcomponentsiobuf_lvcmos25_f_12|unisim|vcomponentsiobuf_lvcmos25_f_16|unisim|vcomponentsiobuf_lvcmos25_f_24|unisim|vcomponentsiobuf_lvcmos25_f_2|unisim|vcomponentsiobuf_lvcmos25_f_4|unisim|vcomponentsiobuf_lvcmos25_f_6|unisim|vcomponentsiobuf_lvcmos25_f_8|unisim|vcomponentsiobuf_lvcmos25_s_12|unisim|vcomponentsiobuf_lvcmos25_s_16|unisim|vcomponentsiobuf_lvcmos25_s_24|unisim|vcomponentsiobuf_lvcmos25_s_2|unisim|vcomponentsiobuf_lvcmos25_s_4|unisim|vcomponentsiobuf_lvcmos25_s_6|unisim|vcomponentsiobuf_lvcmos25_s_8|unisim|vcomponentsiobuf_lvcmos25|unisim|vcomponentsiobuf_lvcmos2|unisim|vcomponentsiobuf_lvcmos33_f_12|unisim|vcomponentsiobuf_lvcmos33_f_16|unisim|vcomponentsiobuf_lvcmos33_f_24|unisim|vcomponentsiobuf_lvcmos33_f_2|unisim|vcomponentsiobuf_lvcmos33_f_4|unisim|vcomponentsiobuf_lvcmos33_f_6|unisim|vcomponentsiobuf_lvcmos33_f_8|unisim|vcomponentsiobuf_lvcmos33_s_12|unisim|vcomponentsiobuf_lvcmos33_s_16|unisim|vcomponentsiobuf_lvcmos33_s_24|unisim|vcomponentsiobuf_lvcmos33_s_2|unisim|vcomponentsiobuf_lvcmos33_s_4|unisim|vcomponentsiobuf_lvcmos33_s_6|unisim|vcomponentsiobuf_lvcmos33_s_8|unisim|vcomponentsiobuf_lvcmos33|unisim|vcomponentsiobuf_lvdci_15|unisim|vcomponentsiobuf_lvdci_18|unisim|vcomponentsiobuf_lvdci_25|unisim|vcomponentsiobuf_lvdci_33|unisim|vcomponentsiobuf_lvdci_dv2_15|unisim|vcomponentsiobuf_lvdci_dv2_18|unisim|vcomponentsiobuf_lvdci_dv2_25|unisim|vcomponentsiobuf_lvdci_dv2_33|unisim|vcomponentsiobuf_lvds|unisim|vcomponentsiobuf_lvpecl|unisim|vcomponentsiobuf_lvttl_f_12|unisim|vcomponentsiobuf_lvttl_f_16|unisim|vcomponentsiobuf_lvttl_f_24|unisim|vcomponentsiobuf_lvttl_f_2|unisim|vcomponentsiobuf_lvttl_f_4|unisim|vcomponentsiobuf_lvttl_f_6|unisim|vcomponentsiobuf_lvttl_f_8|unisim|vcomponentsiobuf_lvttl_s_12|unisim|vcomponentsiobuf_lvttl_s_16|unisim|vcomponentsiobuf_lvttl_s_24|unisim|vcomponentsiobuf_lvttl_s_2|unisim|vcomponentsiobuf_lvttl_s_4|unisim|vcomponentsiobuf_lvttl_s_6|unisim|vcomponentsiobuf_lvttl_s_8|unisim|vcomponentsiobuf_lvttl|unisim|vcomponentsiobuf_pci33_3|unisim|vcomponentsiobuf_pci33_5|unisim|vcomponentsiobuf_pci66_3|unisim|vcomponentsiobuf_pcix66_3|unisim|vcomponentsiobuf_pcix|unisim|vcomponentsiobuf_s_12|unisim|vcomponentsiobuf_s_16|unisim|vcomponentsiobuf_s_24|unisim|vcomponentsiobuf_s_2|unisim|vcomponentsiobuf_s_4|unisim|vcomponentsiobuf_s_6|unisim|vcomponentsiobuf_s_8|unisim|vcomponentsiobuf_sstl18_ii_dci|unisim|vcomponentsiobuf_sstl18_ii|unisim|vcomponentsiobuf_sstl18_i|unisim|vcomponentsiobuf_sstl2_ii_dci|unisim|vcomponentsiobuf_sstl2_ii|unisim|vcomponentsiobuf_sstl2_i|unisim|vcomponentsiobuf_sstl3_ii_dci|unisim|vcomponentsiobuf_sstl3_ii|unisim|vcomponentsiobuf_sstl3_i|unisim|vcomponentsiobufds_blvds_25|unisim|vcomponentsiobufds|unisim|vcomponentsiobufe_f|unisim|vcomponentsiobufe_s|unisim|vcomponentsiobufe|unisim|vcomponentsiobuf|unisim|vcomponentsiodelay|unisim|vcomponentsiserdes_nodelay|unisim|vcomponentsiserdes|unisim|vcomponentsjtagppc|unisim|vcomponentskeeper|unisim|vcomponentskeep|unisim|vcomponentskey_clear|unisim|vcomponentsld_1|unisim|vcomponentsldc_1|unisim|vcomponentsldce_1|unisim|vcomponentsldce|unisim|vcomponentsldcp_1|unisim|vcomponentsldcpe_1|unisim|vcomponentsldcpe|unisim|vcomponentsldcp|unisim|vcomponentsldc|unisim|vcomponentslde_1|unisim|vcomponentslde|unisim|vcomponentsldg|unisim|vcomponentsldp_1|unisim|vcomponentsldpe_1|unisim|vcomponentsldpe|unisim|vcomponentsldp|unisim|vcomponentsld|unisim|vcomponentslut1_d|unisim|vcomponentslut1_l|unisim|vcomponentslut1|unisim|vcomponentslut2_d|unisim|vcomponentslut2_l|unisim|vcomponentslut2|unisim|vcomponentslut3_d|unisim|vcomponentslut3_l|unisim|vcomponentslut3|unisim|vcomponentslut4_d|unisim|vcomponentslut4_l|unisim|vcomponentslut4|unisim|vcomponentslut5_d|unisim|vcomponentslut5_l|unisim|vcomponentslut5|unisim|vcomponentslut6_2|unisim|vcomponentslut6_d|unisim|vcomponentslut6_l|unisim|vcomponentslut6|unisim|vcomponentsmerge|unisim|vcomponentsmin_off|unisim|vcomponentsmult18x18sio|unisim|vcomponentsmult18x18s|unisim|vcomponentsmult18x18|unisim|vcomponentsmult_and|unisim|vcomponentsmuxcy_d|unisim|vcomponentsmuxcy_l|unisim|vcomponentsmuxcy|unisim|vcomponentsmuxf5_d|unisim|vcomponentsmuxf5_l|unisim|vcomponentsmuxf5|unisim|vcomponentsmuxf6_d|unisim|vcomponentsmuxf6_l|unisim|vcomponentsmuxf6|unisim|vcomponentsmuxf7_d|unisim|vcomponentsmuxf7_l|unisim|vcomponentsmuxf7|unisim|vcomponentsmuxf8_d|unisim|vcomponentsmuxf8_l|unisim|vcomponentsmuxf8|unisim|vcomponentsnand2b1|unisim|vcomponentsnand2b2|unisim|vcomponentsnand2|unisim|vcomponentsnand3b1|unisim|vcomponentsnand3b2|unisim|vcomponentsnand3b3|unisim|vcomponentsnand3|unisim|vcomponentsnand4b1|unisim|vcomponentsnand4b2|unisim|vcomponentsnand4b3|unisim|vcomponentsnand4b4|unisim|vcomponentsnand4|unisim|vcomponentsnand5b1|unisim|vcomponentsnand5b2|unisim|vcomponentsnand5b3|unisim|vcomponentsnand5b4|unisim|vcomponentsnand5b5|unisim|vcomponentsnand5|unisim|vcomponentsnor2b1|unisim|vcomponentsnor2b2|unisim|vcomponentsnor2|unisim|vcomponentsnor3b1|unisim|vcomponentsnor3b2|unisim|vcomponentsnor3b3|unisim|vcomponentsnor3|unisim|vcomponentsnor4b1|unisim|vcomponentsnor4b2|unisim|vcomponentsnor4b3|unisim|vcomponentsnor4b4|unisim|vcomponentsnor4|unisim|vcomponentsnor5b1|unisim|vcomponentsnor5b2|unisim|vcomponentsnor5b3|unisim|vcomponentsnor5b4|unisim|vcomponentsnor5b5|unisim|vcomponentsnor5|unisim|vcomponentsobuf_agp|unisim|vcomponentsobuf_ctt|unisim|vcomponentsobuf_f_12|unisim|vcomponentsobuf_f_16|unisim|vcomponentsobuf_f_24|unisim|vcomponentsobuf_f_2|unisim|vcomponentsobuf_f_4|unisim|vcomponentsobuf_f_6|unisim|vcomponentsobuf_f_8|unisim|vcomponentsobuf_gtl_dci|unisim|vcomponentsobuf_gtlp_dci|unisim|vcomponentsobuf_gtlp|unisim|vcomponentsobuf_gtl|unisim|vcomponentsobuf_hstl_i_18|unisim|vcomponentsobuf_hstl_i_dci_18|unisim|vcomponentsobuf_hstl_i_dci|unisim|vcomponentsobuf_hstl_ii_18|unisim|vcomponentsobuf_hstl_ii_dci_18|unisim|vcomponentsobuf_hstl_ii_dci|unisim|vcomponentsobuf_hstl_iii_18|unisim|vcomponentsobuf_hstl_iii_dci_18|unisim|vcomponentsobuf_hstl_iii_dci|unisim|vcomponentsobuf_hstl_iii|unisim|vcomponentsobuf_hstl_ii|unisim|vcomponentsobuf_hstl_iv_18|unisim|vcomponentsobuf_hstl_iv_dci_18|unisim|vcomponentsobuf_hstl_iv_dci|unisim|vcomponentsobuf_hstl_iv|unisim|vcomponentsobuf_hstl_i|unisim|vcomponentsobuf_lvcmos12_f_2|unisim|vcomponentsobuf_lvcmos12_f_4|unisim|vcomponentsobuf_lvcmos12_f_6|unisim|vcomponentsobuf_lvcmos12_f_8|unisim|vcomponentsobuf_lvcmos12_s_2|unisim|vcomponentsobuf_lvcmos12_s_4|unisim|vcomponentsobuf_lvcmos12_s_6|unisim|vcomponentsobuf_lvcmos12_s_8|unisim|vcomponentsobuf_lvcmos12|unisim|vcomponentsobuf_lvcmos15_f_12|unisim|vcomponentsobuf_lvcmos15_f_16|unisim|vcomponentsobuf_lvcmos15_f_2|unisim|vcomponentsobuf_lvcmos15_f_4|unisim|vcomponentsobuf_lvcmos15_f_6|unisim|vcomponentsobuf_lvcmos15_f_8|unisim|vcomponentsobuf_lvcmos15_s_12|unisim|vcomponentsobuf_lvcmos15_s_16|unisim|vcomponentsobuf_lvcmos15_s_2|unisim|vcomponentsobuf_lvcmos15_s_4|unisim|vcomponentsobuf_lvcmos15_s_6|unisim|vcomponentsobuf_lvcmos15_s_8|unisim|vcomponentsobuf_lvcmos15|unisim|vcomponentsobuf_lvcmos18_f_12|unisim|vcomponentsobuf_lvcmos18_f_16|unisim|vcomponentsobuf_lvcmos18_f_2|unisim|vcomponentsobuf_lvcmos18_f_4|unisim|vcomponentsobuf_lvcmos18_f_6|unisim|vcomponentsobuf_lvcmos18_f_8|unisim|vcomponentsobuf_lvcmos18_s_12|unisim|vcomponentsobuf_lvcmos18_s_16|unisim|vcomponentsobuf_lvcmos18_s_2|unisim|vcomponentsobuf_lvcmos18_s_4|unisim|vcomponentsobuf_lvcmos18_s_6|unisim|vcomponentsobuf_lvcmos18_s_8|unisim|vcomponentsobuf_lvcmos18|unisim|vcomponentsobuf_lvcmos25_f_12|unisim|vcomponentsobuf_lvcmos25_f_16|unisim|vcomponentsobuf_lvcmos25_f_24|unisim|vcomponentsobuf_lvcmos25_f_2|unisim|vcomponentsobuf_lvcmos25_f_4|unisim|vcomponentsobuf_lvcmos25_f_6|unisim|vcomponentsobuf_lvcmos25_f_8|unisim|vcomponentsobuf_lvcmos25_s_12|unisim|vcomponentsobuf_lvcmos25_s_16|unisim|vcomponentsobuf_lvcmos25_s_24|unisim|vcomponentsobuf_lvcmos25_s_2|unisim|vcomponentsobuf_lvcmos25_s_4|unisim|vcomponentsobuf_lvcmos25_s_6|unisim|vcomponentsobuf_lvcmos25_s_8|unisim|vcomponentsobuf_lvcmos25|unisim|vcomponentsobuf_lvcmos2|unisim|vcomponentsobuf_lvcmos33_f_12|unisim|vcomponentsobuf_lvcmos33_f_16|unisim|vcomponentsobuf_lvcmos33_f_24|unisim|vcomponentsobuf_lvcmos33_f_2|unisim|vcomponentsobuf_lvcmos33_f_4|unisim|vcomponentsobuf_lvcmos33_f_6|unisim|vcomponentsobuf_lvcmos33_f_8|unisim|vcomponentsobuf_lvcmos33_s_12|unisim|vcomponentsobuf_lvcmos33_s_16|unisim|vcomponentsobuf_lvcmos33_s_24|unisim|vcomponentsobuf_lvcmos33_s_2|unisim|vcomponentsobuf_lvcmos33_s_4|unisim|vcomponentsobuf_lvcmos33_s_6|unisim|vcomponentsobuf_lvcmos33_s_8|unisim|vcomponentsobuf_lvcmos33|unisim|vcomponentsobuf_lvdci_15|unisim|vcomponentsobuf_lvdci_18|unisim|vcomponentsobuf_lvdci_25|unisim|vcomponentsobuf_lvdci_33|unisim|vcomponentsobuf_lvdci_dv2_15|unisim|vcomponentsobuf_lvdci_dv2_18|unisim|vcomponentsobuf_lvdci_dv2_25|unisim|vcomponentsobuf_lvdci_dv2_33|unisim|vcomponentsobuf_lvds|unisim|vcomponentsobuf_lvpecl|unisim|vcomponentsobuf_lvttl_f_12|unisim|vcomponentsobuf_lvttl_f_16|unisim|vcomponentsobuf_lvttl_f_24|unisim|vcomponentsobuf_lvttl_f_2|unisim|vcomponentsobuf_lvttl_f_4|unisim|vcomponentsobuf_lvttl_f_6|unisim|vcomponentsobuf_lvttl_f_8|unisim|vcomponentsobuf_lvttl_s_12|unisim|vcomponentsobuf_lvttl_s_16|unisim|vcomponentsobuf_lvttl_s_24|unisim|vcomponentsobuf_lvttl_s_2|unisim|vcomponentsobuf_lvttl_s_4|unisim|vcomponentsobuf_lvttl_s_6|unisim|vcomponentsobuf_lvttl_s_8|unisim|vcomponentsobuf_lvttl|unisim|vcomponentsobuf_pci33_3|unisim|vcomponentsobuf_pci33_5|unisim|vcomponentsobuf_pci66_3|unisim|vcomponentsobuf_pcix66_3|unisim|vcomponentsobuf_pcix|unisim|vcomponentsobuf_s_12|unisim|vcomponentsobuf_s_16|unisim|vcomponentsobuf_s_24|unisim|vcomponentsobuf_s_2|unisim|vcomponentsobuf_s_4|unisim|vcomponentsobuf_s_6|unisim|vcomponentsobuf_s_8|unisim|vcomponentsobuf_sstl18_i_dci|unisim|vcomponentsobuf_sstl18_ii_dci|unisim|vcomponentsobuf_sstl18_ii|unisim|vcomponentsobuf_sstl18_i|unisim|vcomponentsobuf_sstl2_i_dci|unisim|vcomponentsobuf_sstl2_ii_dci|unisim|vcomponentsobuf_sstl2_ii|unisim|vcomponentsobuf_sstl2_i|unisim|vcomponentsobuf_sstl3_i_dci|unisim|vcomponentsobuf_sstl3_ii_dci|unisim|vcomponentsobuf_sstl3_ii|unisim|vcomponentsobuf_sstl3_i|unisim|vcomponentsobufds_blvds_25|unisim|vcomponentsobufds_ldt_25|unisim|vcomponentsobufds_lvds_25|unisim|vcomponentsobufds_lvds_33|unisim|vcomponentsobufds_lvdsext_25|unisim|vcomponentsobufds_lvdsext_33|unisim|vcomponentsobufds_lvpecl_25|unisim|vcomponentsobufds_lvpecl_33|unisim|vcomponentsobufds_ulvds_25|unisim|vcomponentsobufds|unisim|vcomponentsobufe|unisim|vcomponentsobuft_agp|unisim|vcomponentsobuft_ctt|unisim|vcomponentsobuft_f_12|unisim|vcomponentsobuft_f_16|unisim|vcomponentsobuft_f_24|unisim|vcomponentsobuft_f_2|unisim|vcomponentsobuft_f_4|unisim|vcomponentsobuft_f_6|unisim|vcomponentsobuft_f_8|unisim|vcomponentsobuft_gtl_dci|unisim|vcomponentsobuft_gtlp_dci|unisim|vcomponentsobuft_gtlp|unisim|vcomponentsobuft_gtl|unisim|vcomponentsobuft_hstl_i_18|unisim|vcomponentsobuft_hstl_i_dci_18|unisim|vcomponentsobuft_hstl_i_dci|unisim|vcomponentsobuft_hstl_ii_18|unisim|vcomponentsobuft_hstl_ii_dci_18|unisim|vcomponentsobuft_hstl_ii_dci|unisim|vcomponentsobuft_hstl_iii_18|unisim|vcomponentsobuft_hstl_iii_dci_18|unisim|vcomponentsobuft_hstl_iii_dci|unisim|vcomponentsobuft_hstl_iii|unisim|vcomponentsobuft_hstl_ii|unisim|vcomponentsobuft_hstl_iv_18|unisim|vcomponentsobuft_hstl_iv_dci_18|unisim|vcomponentsobuft_hstl_iv_dci|unisim|vcomponentsobuft_hstl_iv|unisim|vcomponentsobuft_hstl_i|unisim|vcomponentsobuft_lvcmos12_f_2|unisim|vcomponentsobuft_lvcmos12_f_4|unisim|vcomponentsobuft_lvcmos12_f_6|unisim|vcomponentsobuft_lvcmos12_f_8|unisim|vcomponentsobuft_lvcmos12_s_2|unisim|vcomponentsobuft_lvcmos12_s_4|unisim|vcomponentsobuft_lvcmos12_s_6|unisim|vcomponentsobuft_lvcmos12_s_8|unisim|vcomponentsobuft_lvcmos12|unisim|vcomponentsobuft_lvcmos15_f_12|unisim|vcomponentsobuft_lvcmos15_f_16|unisim|vcomponentsobuft_lvcmos15_f_2|unisim|vcomponentsobuft_lvcmos15_f_4|unisim|vcomponentsobuft_lvcmos15_f_6|unisim|vcomponentsobuft_lvcmos15_f_8|unisim|vcomponentsobuft_lvcmos15_s_12|unisim|vcomponentsobuft_lvcmos15_s_16|unisim|vcomponentsobuft_lvcmos15_s_2|unisim|vcomponentsobuft_lvcmos15_s_4|unisim|vcomponentsobuft_lvcmos15_s_6|unisim|vcomponentsobuft_lvcmos15_s_8|unisim|vcomponentsobuft_lvcmos15|unisim|vcomponentsobuft_lvcmos18_f_12|unisim|vcomponentsobuft_lvcmos18_f_16|unisim|vcomponentsobuft_lvcmos18_f_2|unisim|vcomponentsobuft_lvcmos18_f_4|unisim|vcomponentsobuft_lvcmos18_f_6|unisim|vcomponentsobuft_lvcmos18_f_8|unisim|vcomponentsobuft_lvcmos18_s_12|unisim|vcomponentsobuft_lvcmos18_s_16|unisim|vcomponentsobuft_lvcmos18_s_2|unisim|vcomponentsobuft_lvcmos18_s_4|unisim|vcomponentsobuft_lvcmos18_s_6|unisim|vcomponentsobuft_lvcmos18_s_8|unisim|vcomponentsobuft_lvcmos18|unisim|vcomponentsobuft_lvcmos25_f_12|unisim|vcomponentsobuft_lvcmos25_f_16|unisim|vcomponentsobuft_lvcmos25_f_24|unisim|vcomponentsobuft_lvcmos25_f_2|unisim|vcomponentsobuft_lvcmos25_f_4|unisim|vcomponentsobuft_lvcmos25_f_6|unisim|vcomponentsobuft_lvcmos25_f_8|unisim|vcomponentsobuft_lvcmos25_s_12|unisim|vcomponentsobuft_lvcmos25_s_16|unisim|vcomponentsobuft_lvcmos25_s_24|unisim|vcomponentsobuft_lvcmos25_s_2|unisim|vcomponentsobuft_lvcmos25_s_4|unisim|vcomponentsobuft_lvcmos25_s_6|unisim|vcomponentsobuft_lvcmos25_s_8|unisim|vcomponentsobuft_lvcmos25|unisim|vcomponentsobuft_lvcmos2|unisim|vcomponentsobuft_lvcmos33_f_12|unisim|vcomponentsobuft_lvcmos33_f_16|unisim|vcomponentsobuft_lvcmos33_f_24|unisim|vcomponentsobuft_lvcmos33_f_2|unisim|vcomponentsobuft_lvcmos33_f_4|unisim|vcomponentsobuft_lvcmos33_f_6|unisim|vcomponentsobuft_lvcmos33_f_8|unisim|vcomponentsobuft_lvcmos33_s_12|unisim|vcomponentsobuft_lvcmos33_s_16|unisim|vcomponentsobuft_lvcmos33_s_24|unisim|vcomponentsobuft_lvcmos33_s_2|unisim|vcomponentsobuft_lvcmos33_s_4|unisim|vcomponentsobuft_lvcmos33_s_6|unisim|vcomponentsobuft_lvcmos33_s_8|unisim|vcomponentsobuft_lvcmos33|unisim|vcomponentsobuft_lvdci_15|unisim|vcomponentsobuft_lvdci_18|unisim|vcomponentsobuft_lvdci_25|unisim|vcomponentsobuft_lvdci_33|unisim|vcomponentsobuft_lvdci_dv2_15|unisim|vcomponentsobuft_lvdci_dv2_18|unisim|vcomponentsobuft_lvdci_dv2_25|unisim|vcomponentsobuft_lvdci_dv2_33|unisim|vcomponentsobuft_lvds|unisim|vcomponentsobuft_lvpecl|unisim|vcomponentsobuft_lvttl_f_12|unisim|vcomponentsobuft_lvttl_f_16|unisim|vcomponentsobuft_lvttl_f_24|unisim|vcomponentsobuft_lvttl_f_2|unisim|vcomponentsobuft_lvttl_f_4|unisim|vcomponentsobuft_lvttl_f_6|unisim|vcomponentsobuft_lvttl_f_8|unisim|vcomponentsobuft_lvttl_s_12|unisim|vcomponentsobuft_lvttl_s_16|unisim|vcomponentsobuft_lvttl_s_24|unisim|vcomponentsobuft_lvttl_s_2|unisim|vcomponentsobuft_lvttl_s_4|unisim|vcomponentsobuft_lvttl_s_6|unisim|vcomponentsobuft_lvttl_s_8|unisim|vcomponentsobuft_lvttl|unisim|vcomponentsobuft_pci33_3|unisim|vcomponentsobuft_pci33_5|unisim|vcomponentsobuft_pci66_3|unisim|vcomponentsobuft_pcix66_3|unisim|vcomponentsobuft_pcix|unisim|vcomponentsobuft_s_12|unisim|vcomponentsobuft_s_16|unisim|vcomponentsobuft_s_24|unisim|vcomponentsobuft_s_2|unisim|vcomponentsobuft_s_4|unisim|vcomponentsobuft_s_6|unisim|vcomponentsobuft_s_8|unisim|vcomponentsobuft_sstl18_i_dci|unisim|vcomponentsobuft_sstl18_ii_dci|unisim|vcomponentsobuft_sstl18_ii|unisim|vcomponentsobuft_sstl18_i|unisim|vcomponentsobuft_sstl2_i_dci|unisim|vcomponentsobuft_sstl2_ii_dci|unisim|vcomponentsobuft_sstl2_ii|unisim|vcomponentsobuft_sstl2_i|unisim|vcomponentsobuft_sstl3_i_dci|unisim|vcomponentsobuft_sstl3_ii_dci|unisim|vcomponentsobuft_sstl3_ii|unisim|vcomponentsobuft_sstl3_i|unisim|vcomponentsobuftds_blvds_25|unisim|vcomponentsobuftds_ldt_25|unisim|vcomponentsobuftds_lvds_25|unisim|vcomponentsobuftds_lvds_33|unisim|vcomponentsobuftds_lvdsext_25|unisim|vcomponentsobuftds_lvdsext_33|unisim|vcomponentsobuftds_lvpecl_25|unisim|vcomponentsobuftds_lvpecl_33|unisim|vcomponentsobuftds_ulvds_25|unisim|vcomponentsobuftds|unisim|vcomponentsobuft|unisim|vcomponentsobuf|unisim|vcomponentsoddr2|unisim|vcomponentsoddr|unisim|vcomponentsofddrcpe|unisim|vcomponentsofddrrse|unisim|vcomponentsofddrtcpe|unisim|vcomponentsofddrtrse|unisim|vcomponentsopt_off|unisim|vcomponentsopt_uim|unisim|vcomponentsor2b1|unisim|vcomponentsor2b2|unisim|vcomponentsor2|unisim|vcomponentsor3b1|unisim|vcomponentsor3b2|unisim|vcomponentsor3b3|unisim|vcomponentsor3|unisim|vcomponentsor4b1|unisim|vcomponentsor4b2|unisim|vcomponentsor4b3|unisim|vcomponentsor4b4|unisim|vcomponentsor4|unisim|vcomponentsor5b1|unisim|vcomponentsor5b2|unisim|vcomponentsor5b3|unisim|vcomponentsor5b4|unisim|vcomponentsor5b5|unisim|vcomponentsor5|unisim|vcomponentsor6|unisim|vcomponentsor7|unisim|vcomponentsor8|unisim|vcomponentsorcy|unisim|vcomponentsoserdes|unisim|vcomponentspcie_ep|unisim|vcomponentspcie_internal_1_1|unisim|vcomponentspll_adv|unisim|vcomponentspll_base|unisim|vcomponentspmcd|unisim|vcomponentsppc405_adv|unisim|vcomponentsppc405|unisim|vcomponentspulldown|unisim|vcomponentspullup|unisim|vcomponentsram128x1d|unisim|vcomponentsram128x1s_1|unisim|vcomponentsram128x1s|unisim|vcomponentsram16x1d_1|unisim|vcomponentsram16x1d|unisim|vcomponentsram16x1s_1|unisim|vcomponentsram16x1s|unisim|vcomponentsram16x2s|unisim|vcomponentsram16x4s|unisim|vcomponentsram16x8s|unisim|vcomponentsram256x1s|unisim|vcomponentsram32m|unisim|vcomponentsram32x1d_1|unisim|vcomponentsram32x1d|unisim|vcomponentsram32x1s_1|unisim|vcomponentsram32x1s|unisim|vcomponentsram32x2s|unisim|vcomponentsram32x4s|unisim|vcomponentsram32x8s|unisim|vcomponentsram64m|unisim|vcomponentsram64x1d_1|unisim|vcomponentsram64x1d|unisim|vcomponentsram64x1s_1|unisim|vcomponentsram64x1s|unisim|vcomponentsram64x2s|unisim|vcomponentsramb16_s18_s18|unisim|vcomponentsramb16_s18_s36|unisim|vcomponentsramb16_s18|unisim|vcomponentsramb16_s1_s18|unisim|vcomponentsramb16_s1_s1|unisim|vcomponentsramb16_s1_s2|unisim|vcomponentsramb16_s1_s36|unisim|vcomponentsramb16_s1_s4|unisim|vcomponentsramb16_s1_s9|unisim|vcomponentsramb16_s1|unisim|vcomponentsramb16_s2_s18|unisim|vcomponentsramb16_s2_s2|unisim|vcomponentsramb16_s2_s36|unisim|vcomponentsramb16_s2_s4|unisim|vcomponentsramb16_s2_s9|unisim|vcomponentsramb16_s2|unisim|vcomponentsramb16_s36_s36|unisim|vcomponentsramb16_s36|unisim|vcomponentsramb16_s4_s18|unisim|vcomponentsramb16_s4_s36|unisim|vcomponentsramb16_s4_s4|unisim|vcomponentsramb16_s4_s9|unisim|vcomponentsramb16_s4|unisim|vcomponentsramb16_s9_s18|unisim|vcomponentsramb16_s9_s36|unisim|vcomponentsramb16_s9_s9|unisim|vcomponentsramb16_s9|unisim|vcomponentsramb16bwe_s18_s18|unisim|vcomponentsramb16bwe_s18_s9|unisim|vcomponentsramb16bwe_s18|unisim|vcomponentsramb16bwe_s36_s18|unisim|vcomponentsramb16bwe_s36_s36|unisim|vcomponentsramb16bwe_s36_s9|unisim|vcomponentsramb16bwe_s36|unisim|vcomponentsramb16bwer|unisim|vcomponentsramb16bwe|unisim|vcomponentsramb16|unisim|vcomponentsramb18sdp|unisim|vcomponentsramb18|unisim|vcomponentsramb32_s64_ecc|unisim|vcomponentsramb36_exp|unisim|vcomponentsramb36sdp_exp|unisim|vcomponentsramb36sdp|unisim|vcomponentsramb36|unisim|vcomponentsramb4_s16_s16|unisim|vcomponentsramb4_s16|unisim|vcomponentsramb4_s1_s16|unisim|vcomponentsramb4_s1_s1|unisim|vcomponentsramb4_s1_s2|unisim|vcomponentsramb4_s1_s4|unisim|vcomponentsramb4_s1_s8|unisim|vcomponentsramb4_s1|unisim|vcomponentsramb4_s2_s16|unisim|vcomponentsramb4_s2_s2|unisim|vcomponentsramb4_s2_s4|unisim|vcomponentsramb4_s2_s8|unisim|vcomponentsramb4_s2|unisim|vcomponentsramb4_s4_s16|unisim|vcomponentsramb4_s4_s4|unisim|vcomponentsramb4_s4_s8|unisim|vcomponentsramb4_s4|unisim|vcomponentsramb4_s8_s16|unisim|vcomponentsramb4_s8_s8|unisim|vcomponentsramb4_s8|unisim|vcomponentsrocbuf|unisim|vcomponentsroc|unisim|vcomponentsrom128x1|unisim|vcomponentsrom16x1|unisim|vcomponentsrom256x1|unisim|vcomponentsrom32x1|unisim|vcomponentsrom64x1|unisim|vcomponentsspi_access|unisim|vcomponentssrl16_1|unisim|vcomponentssrl16e_1|unisim|vcomponentssrl16e|unisim|vcomponentssrl16|unisim|vcomponentssrlc16_1|unisim|vcomponentssrlc16e_1|unisim|vcomponentssrlc16e|unisim|vcomponentssrlc16|unisim|vcomponentssrlc32e|unisim|vcomponentsstartbuf_fpgacore|unisim|vcomponentsstartbuf_spartan2|unisim|vcomponentsstartbuf_spartan3|unisim|vcomponentsstartbuf_virtex2|unisim|vcomponentsstartbuf_virtex4|unisim|vcomponentsstartbuf_virtex|unisim|vcomponentsstartup_fpgacore|unisim|vcomponentsstartup_spartan2|unisim|vcomponentsstartup_spartan3a|unisim|vcomponentsstartup_spartan3e|unisim|vcomponentsstartup_spartan3|unisim|vcomponentsstartup_virtex2|unisim|vcomponentsstartup_virtex4|unisim|vcomponentsstartup_virtex5|unisim|vcomponentsstartup_virtex|unisim|vcomponentssysmon|unisim|vcomponentstblock|unisim|vcomponentstemac|unisim|vcomponentstimegrp|unisim|vcomponentstimespec|unisim|vcomponentstocbuf|unisim|vcomponentstoc|unisim|vcomponentsusr_access_virtex4|unisim|vcomponentsusr_access_virtex5|unisim|vcomponentsvcc|unisim|vcomponentswireand|unisim|vcomponentsx_and16|simprim|vcomponentsx_and2|simprim|vcomponentsx_and32|simprim|vcomponentsx_and3|simprim|vcomponentsx_and4|simprim|vcomponentsx_and5|simprim|vcomponentsx_and6|simprim|vcomponentsx_and7|simprim|vcomponentsx_and8|simprim|vcomponentsx_and9|simprim|vcomponentsx_bpad|simprim|vcomponentsx_bscan_fpgacore|simprim|vcomponentsx_bscan_spartan2|simprim|vcomponentsx_bscan_spartan3a|simprim|vcomponentsx_bscan_spartan3|simprim|vcomponentsx_bscan_virtex2|simprim|vcomponentsx_bscan_virtex4|simprim|vcomponentsx_bscan_virtex5|simprim|vcomponentsx_bscan_virtex|simprim|vcomponentsx_bufgctrl|simprim|vcomponentsx_bufgmux_1|simprim|vcomponentsx_bufgmux|simprim|vcomponentsx_bufr|simprim|vcomponentsx_buf|simprim|vcomponentsx_carry4|simprim|vcomponentsx_ckbuf|simprim|vcomponentsx_clk_div|simprim|vcomponentsx_clkdlle|simprim|vcomponentsx_clkdll|simprim|vcomponentsx_crc32|simprim|vcomponentsx_crc64|simprim|vcomponentsx_dcm_adv|simprim|vcomponentsx_dcm_sp|simprim|vcomponentsx_dcm|simprim|vcomponentsx_dna_port|simprim|vcomponentsx_dsp48a|simprim|vcomponentsx_dsp48e|simprim|vcomponentsx_dsp48|simprim|vcomponentsx_emac|simprim|vcomponentsx_fddrcpe|simprim|vcomponentsx_fddrrse|simprim|vcomponentsx_fdd|simprim|vcomponentsx_ff_cpld|simprim|vcomponentsx_ff|simprim|vcomponentsx_fifo16|simprim|vcomponentsx_fifo18_36|simprim|vcomponentsx_fifo18|simprim|vcomponentsx_fifo36_72_exp|simprim|vcomponentsx_fifo36_exp|simprim|vcomponentsx_gt10|simprim|vcomponentsx_gt11clk|simprim|vcomponentsx_gt11|simprim|vcomponentsx_gtp_dual|simprim|vcomponentsx_gt|simprim|vcomponentsx_ibuf_dly_adj|simprim|vcomponentsx_ibufds_dly_adj|simprim|vcomponentsx_ibufds|simprim|vcomponentsx_iddr2|simprim|vcomponentsx_iddr_2clk|simprim|vcomponentsx_iddr|simprim|vcomponentsx_idelayctrl|simprim|vcomponentsx_idelay|simprim|vcomponentsx_inv|simprim|vcomponentsx_iodelay|simprim|vcomponentsx_ipad|simprim|vcomponentsx_iserdes_nodelay|simprim|vcomponentsx_iserdes|simprim|vcomponentsx_keeper|simprim|vcomponentsx_latch_cpld|simprim|vcomponentsx_latche|simprim|vcomponentsx_latch|simprim|vcomponentsx_lut2|simprim|vcomponentsx_lut3|simprim|vcomponentsx_lut4|simprim|vcomponentsx_lut5|simprim|vcomponentsx_lut6_2|simprim|vcomponentsx_lut6|simprim|vcomponentsx_lut7|simprim|vcomponentsx_lut8|simprim|vcomponentsx_mult18x18sio|simprim|vcomponentsx_mult18x18s|simprim|vcomponentsx_mult18x18|simprim|vcomponentsx_mux2|simprim|vcomponentsx_muxddr|simprim|vcomponentsx_obufds|simprim|vcomponentsx_obuftds|simprim|vcomponentsx_obuft|simprim|vcomponentsx_obuf|simprim|vcomponentsx_oddr2|simprim|vcomponentsx_oddr|simprim|vcomponentsx_one|simprim|vcomponentsx_opad|simprim|vcomponentsx_or16|simprim|vcomponentsx_or2|simprim|vcomponentsx_or32|simprim|vcomponentsx_or3|simprim|vcomponentsx_or4|simprim|vcomponentsx_or5|simprim|vcomponentsx_or6|simprim|vcomponentsx_or7|simprim|vcomponentsx_or8|simprim|vcomponentsx_or9|simprim|vcomponentsx_oserdes|simprim|vcomponentsx_pcie_internal_1_1|simprim|vcomponentsx_pd|simprim|vcomponentsx_pll_adv|simprim|vcomponentsx_pmcd|simprim|vcomponentsx_ppc405_adv|simprim|vcomponentsx_ppc405|simprim|vcomponentsx_pu|simprim|vcomponentsx_ram32m|simprim|vcomponentsx_ram64m|simprim|vcomponentsx_ramb16_s18_s18|simprim|vcomponentsx_ramb16_s18_s36|simprim|vcomponentsx_ramb16_s18|simprim|vcomponentsx_ramb16_s1_s18|simprim|vcomponentsx_ramb16_s1_s1|simprim|vcomponentsx_ramb16_s1_s2|simprim|vcomponentsx_ramb16_s1_s36|simprim|vcomponentsx_ramb16_s1_s4|simprim|vcomponentsx_ramb16_s1_s9|simprim|vcomponentsx_ramb16_s1|simprim|vcomponentsx_ramb16_s2_s18|simprim|vcomponentsx_ramb16_s2_s2|simprim|vcomponentsx_ramb16_s2_s36|simprim|vcomponentsx_ramb16_s2_s4|simprim|vcomponentsx_ramb16_s2_s9|simprim|vcomponentsx_ramb16_s2|simprim|vcomponentsx_ramb16_s36_s36|simprim|vcomponentsx_ramb16_s36|simprim|vcomponentsx_ramb16_s4_s18|simprim|vcomponentsx_ramb16_s4_s36|simprim|vcomponentsx_ramb16_s4_s4|simprim|vcomponentsx_ramb16_s4_s9|simprim|vcomponentsx_ramb16_s4|simprim|vcomponentsx_ramb16_s9_s18|simprim|vcomponentsx_ramb16_s9_s36|simprim|vcomponentsx_ramb16_s9_s9|simprim|vcomponentsx_ramb16_s9|simprim|vcomponentsx_ramb16bwer|simprim|vcomponentsx_ramb16bwe|simprim|vcomponentsx_ramb16|simprim|vcomponentsx_ramb18sdp|simprim|vcomponentsx_ramb18|simprim|vcomponentsx_ramb36_exp|simprim|vcomponentsx_ramb36sdp_exp|simprim|vcomponentsx_ramb4_s16_s16|simprim|vcomponentsx_ramb4_s16|simprim|vcomponentsx_ramb4_s1_s16|simprim|vcomponentsx_ramb4_s1_s1|simprim|vcomponentsx_ramb4_s1_s2|simprim|vcomponentsx_ramb4_s1_s4|simprim|vcomponentsx_ramb4_s1_s8|simprim|vcomponentsx_ramb4_s1|simprim|vcomponentsx_ramb4_s2_s16|simprim|vcomponentsx_ramb4_s2_s2|simprim|vcomponentsx_ramb4_s2_s4|simprim|vcomponentsx_ramb4_s2_s8|simprim|vcomponentsx_ramb4_s2|simprim|vcomponentsx_ramb4_s4_s16|simprim|vcomponentsx_ramb4_s4_s4|simprim|vcomponentsx_ramb4_s4_s8|simprim|vcomponentsx_ramb4_s4|simprim|vcomponentsx_ramb4_s8_s16|simprim|vcomponentsx_ramb4_s8_s8|simprim|vcomponentsx_ramb4_s8|simprim|vcomponentsx_ramd128|simprim|vcomponentsx_ramd16|simprim|vcomponentsx_ramd32|simprim|vcomponentsx_ramd64_adv|simprim|vcomponentsx_ramd64|simprim|vcomponentsx_rams128|simprim|vcomponentsx_rams16|simprim|vcomponentsx_rams256|simprim|vcomponentsx_rams32|simprim|vcomponentsx_rams64_adv|simprim|vcomponentsx_rams64|simprim|vcomponentsx_rocbuf|simprim|vcomponentsx_roc|simprim|vcomponentsx_sff|simprim|vcomponentsx_spi_access|simprim|vcomponentsx_srl16e|simprim|vcomponentsx_srlc16e|simprim|vcomponentsx_srlc32e|simprim|vcomponentsx_suh|simprim|vcomponentsx_sysmon|simprim|vcomponentsx_temac|simprim|vcomponentsx_tocbuf|simprim|vcomponentsx_toc|simprim|vcomponentsx_tri|simprim|vcomponentsx_upad|simprim|vcomponentsx_xor16|simprim|vcomponentsx_xor2|simprim|vcomponentsx_xor32|simprim|vcomponentsx_xor3|simprim|vcomponentsx_xor4|simprim|vcomponentsx_xor5|simprim|vcomponentsx_xor6|simprim|vcomponentsx_xor7|simprim|vcomponentsx_xor8|simprim|vcomponentsx_zero|simprim|vcomponentsxnor2|unisim|vcomponentsxnor3|unisim|vcomponentsxnor4|unisim|vcomponentsxnor5|unisim|vcomponentsxor2|unisim|vcomponentsxor3|unisim|vcomponentsxor4|unisim|vcomponentsxor5|unisim|vcomponentsxorcy_d|unisim|vcomponentsxorcy_l|unisim|vcomponentsxorcy|unisim|vcomponents****PROP_DevFamilyPMName=acr2********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=spartan3********PROP_Parse_Target=synthesis********PROP_Parse_Target=synthesis****PROP_Parse_TargetsynthesisPROP_DevFamilyPMNamespartan3PROP_DevFamilyAutomotive CoolRunner2Spartan3PROP_Dummydum1CoolRunner XPLA3 CPLDsXC9500XV CPLDsXC9500XL CPLDsXC9500 CPLDsCoolRunner2 CPLDsAutomotive 9500XLVirtexEVirtex5Virtex4Virtex2PVirtex2VirtexSpartan3ESpartan-3A DSPSpartan3A and Spartan3ANSpartan2ESpartan2QPro VirtexE MilitaryQPro Virtex Hi-RelQPro Virtex Rad-HardAutomotive Spartan3EAutomotive Spartan3Automotive Spartan2EPROP_xstVeriIncludeDir_GlobalPLUGIN_EdifPLUGIN_GeneralPLUGIN_NcdPLUGIN_VerilogPLUGIN_VhdllibHdlacr2|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/bitgen.xmsgs|PLUGIN_General|1204672500|FILE_XMSGS|Generic||bitgen.xmsgsbitgen.xmsgsDESUT_XMSGS|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.bgn|PLUGIN_General|1204672500|FILE_BITGEN_REPORT|Generic||pci_lpc_host.bgnpci_lpc_host.bgnDESUT_BITGEN_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.bit|PLUGIN_General|1204672499|FILE_BIT|Generic||pci_lpc_host.bitpci_lpc_host.bitDESUT_BIT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.drc|PLUGIN_General|1204672496|FILE_BITGEN_DRC|Generic||pci_lpc_host.drcpci_lpc_host.drcDESUT_BITGEN_DRC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/trce.xmsgs|PLUGIN_General|1204672492||Generic||trce.xmsgstrce.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.twx|PLUGIN_General|1204672491|FILE_TIMING_XML_REPORT|Generic||pci_lpc_host.twxpci_lpc_host.twxDESUT_TIMING_XML_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.twr|PLUGIN_General|1204672492|FILE_TIMING_TXT_REPORT|Generic||pci_lpc_host.twrpci_lpc_host.twrDESUT_TIMING_TXT_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/par.xmsgs|PLUGIN_General|1204672488||Generic||par.xmsgspar.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_pad.csv|PLUGIN_General|1204672488|FILE_PAD_EXCEL_REPORT|Generic||pci_lpc_host_pad.csvpci_lpc_host_pad.csvDESUT_PAD_EXCEL_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_pad.txt|PLUGIN_General|1204672488|FILE_PAD_TXT_REPORT|Generic||pci_lpc_host_pad.txtpci_lpc_host_pad.txtDESUT_PAD_TXT_REPORTTBIND_viewPadRptsTRAN_viewPadRpts|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.xpi|PLUGIN_General|1204672488|FILE_XPI|Generic||pci_lpc_host.xpipci_lpc_host.xpiDESUT_XPI|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.unroutes|PLUGIN_General|1204672488|FILE_UNROUTES|Generic||pci_lpc_host.unroutespci_lpc_host.unroutesDESUT_UNROUTES|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.par|PLUGIN_General|1204672488|FILE_PAR_REPORT|Generic||pci_lpc_host.parpci_lpc_host.parDESUT_PAR_REPORTTBIND_viewParRptsTRAN_viewParRpts|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.pad|PLUGIN_General|1204672488|FILE_PAD_MISC|Generic||pci_lpc_host.padpci_lpc_host.padDESUT_PAD_MISC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.ncd|PLUGIN_NCD|1204672488|PLUGIN_NCDFILE_NCD|Module||pci_lpc_hostpci_lpc_hostDESUT_NCD|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_usage.xml|PLUGIN_General|1204672499|FILE_WEBTALK|Generic||pci_lpc_host_usage.xmlpci_lpc_host_usage.xmlDESUT_GENERIC|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/map.xmsgs|PLUGIN_General|1204672476||Generic||map.xmsgsmap.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_map.ngm|PLUGIN_NGM|1204672475|PLUGIN_NGMFILE_NGMDESUT_NGM3s400fg456-4|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.pcf|PLUGIN_General|1204672475|FILE_PCF|Generic||pci_lpc_host.pcfpci_lpc_host.pcfDESUT_PCF|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_map.mrp|PLUGIN_General|1204672476|FILE_MAP_REPORT|Generic||pci_lpc_host_map.mrppci_lpc_host_map.mrpDESUT_MAP_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_map.ncd|PLUGIN_NCD|1204672476||File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/ngdbuild.xmsgs|PLUGIN_General|1204672471||Generic||ngdbuild.xmsgsngdbuild.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_ngo|PLUGIN_General|1204672468|FILE_DIRECTORY|Generic||_ngo_ngoDESUT_DIRECTORY|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_ngo/netlist.lst|PLUGIN_General|1204672471|FILE_LST|Generic||netlist.lstnetlist.lstDESUT_LST|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.bld|PLUGIN_General|1204672471|FILE_NGDBUILD_LOG|Generic||pci_lpc_host.bldpci_lpc_host.bldDESUT_NGDBUILD_LOG|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.ngd|PLUGIN_NGD|1204672471|PLUGIN_NGDFILE_NGDDESUT_NGD|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/_xmsgs/xst.xmsgs|PLUGIN_General|1204672464||Generic||xst.xmsgsxst.xmsgs|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.cmd_log|PLUGIN_General|1204672494|FILE_CMD_LOG|Generic||pci_lpc_host.cmd_logpci_lpc_host.cmd_logDESUT_CMD_LOG|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/xst|PLUGIN_General|1204672450||Generic||xstxst|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.ngr|PLUGIN_NGR|1204672455|PLUGIN_NGRFILE_NGRDESUT_NGR|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.ngc|PLUGIN_NGC|1204672464|PLUGIN_NGCFILE_NGCDESUT_NGCxc3s400-4-fg456|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_vhdl.prj|PLUGIN_General|1204672450|FILE_XST_PROJECT|Generic||pci_lpc_host_vhdl.prjpci_lpc_host_vhdl.prjDESUT_XST_PROJECT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.prj|PLUGIN_General|1204672449||Generic||pci_lpc_host.prjpci_lpc_host.prj|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.syr|PLUGIN_General|1204672464|FILE_XST_REPORT|Generic||pci_lpc_host.syrpci_lpc_host.syrDESUT_XST_REPORT|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.lso|PLUGIN_General|1204672450|FILE_LSO|Generic||pci_lpc_host.lsopci_lpc_host.lsoDESUT_LSO|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.xst|PLUGIN_General|1204672449|FILE_XST|Generic||pci_lpc_host.xstpci_lpc_host.xstDESUT_XST|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host.stx|PLUGIN_General|1204672465|FILE_XST_STX|Generic||pci_lpc_host.stxpci_lpc_host.stxDESUT_XST_STX|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/wb_7seg.vhd|PLUGIN_Vhdl|1204597328|FILE_VHDL|Architecture||wb_7seg_behav|wb_7seg|||ComponentInstantiation||wb_7seg|wb_7seg_behav|u1|disp_dec||Entity||wb_7seg|Library||||Use||IEEE|STD_LOGIC_1164|all||Use||IEEE|STD_LOGIC_ARITH|all||Use||IEEE|STD_LOGIC_UNSIGNED|all|wb_7seg_behavwb_7segDESUT_VHDL_ARCHITECTUREu1disp_decDESUT_VHDL_ENTITYIEEE.STD_LOGIC_UNSIGNED.allIEEESTD_LOGIC_UNSIGNEDallIEEE.STD_LOGIC_ARITH.allSTD_LOGIC_ARITHIEEE.STD_LOGIC_1164.allSTD_LOGIC_1164|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/lpc_7seg/disp_dec.vhd|PLUGIN_Vhdl|1141325921||Architecture||disp_dec_behave|disp_dec|||Entity||disp_decdisp_dec_behave|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc_host_guide.ncd|PLUGIN_NCD|1204672488||File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/top_pci_lpc_host.v|PLUGIN_Verilog|1204671407|FILE_VERILOG|ComponentInstantiation||pci_lpc_host|pci_lpc_host|lpc_host|wb_lpc_host||ComponentInstantiation||pci_lpc_host|pci_lpc_host|pci_target|pci32tLite||ComponentInstantiation||pci_lpc_host|pci_lpc_host|seven_seg0|wb_7seg|DESUT_VERILOGseven_seg0lpc_hostwb_lpc_hostpci_targetpci32tLite|File||C:/hharte/work/HarteTec/cores/wb_lpc/rtl/verilog/wb_lpc_host.v|PLUGIN_Verilog|1204671559||Module||wb_lpc_host|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/sync.vhd|PLUGIN_Vhdl|1141325922||Architecture||rtl|sync|||Entity||sync|Use||ieee|std_logic_1164|all|rtlsyncieee.std_logic_1164.allieeestd_logic_1164|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pfs.vhd|PLUGIN_Vhdl|1141325915||Architecture||rtl|pfs|||Entity||pfspfs|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pciwbsequ.vhd|PLUGIN_Vhdl|1190247720||Architecture||rtl|pciwbsequ|||ComponentInstantiation||pciwbsequ|rtl|uu1|syncl||Entity||pciwbsequ|Use||onalib|onapackage|all|pciwbsequuu1synclonalib.onapackage.allonapackage|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pciregs.vhd|PLUGIN_Vhdl|1190247540||Architecture||rtl|pciregs|||ComponentInstantiation||pciregs|rtl|u1|pfs||ComponentInstantiation||pciregs|rtl|u2|pfs||ComponentInstantiation||pciregs|rtl|u3|pfs||Entity||pciregspciregsu3u2|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcipargen.vhd|PLUGIN_Vhdl|1190247540||Architecture||rtl|pcipargen|||ComponentInstantiation||pcipargen|rtl|u1|sync||Entity||pcipargenpcipargen|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcidmux.vhd|PLUGIN_Vhdl|1190247780||Architecture||rtl|pcidmux|||Entity||pcidmuxpcidmux|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pcidec.vhd|PLUGIN_Vhdl|1190247600||Architecture||rtl|pcidec|||Entity||pcidecpcidec|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/pci32tlite.vhd|PLUGIN_Vhdl|1203982953||Architecture||rtl|pci32tLite|||ComponentInstantiation||pci32tLite|rtl|u1|pcidec||ComponentInstantiation||pci32tLite|rtl|u2|pciwbsequ||ComponentInstantiation||pci32tLite|rtl|u3|pcidmux||ComponentInstantiation||pci32tLite|rtl|u4|pciregs||ComponentInstantiation||pci32tLite|rtl|u5|pcipargen||Entity||pci32tLiteu5u4|File||C:/hharte/work/HarteTec/cores/pci32tlite/rtl/onalib.vhd|PLUGIN_Vhdl|1190247780||Architecture||rtl|decoder3to8|||Architecture||rtl|pfb|||Architecture||rtl|sync2h|||Architecture||rtl|sync2l|||Architecture||rtl|sync2|||Architecture||rtl|synch|||Architecture||rtl|syncl|||Architecture||rtl|syncv2h|||Architecture||rtl|syncv|||Entity||decoder3to8|Entity||pfb|Entity||sync2|Entity||sync2h|Entity||sync2l|Entity||synch|Entity||syncl|Entity||syncv|Entity||syncv2h|PackageDecl||onapackage|pfbdecoder3to8success_TRAN_chkSynVhdl_OPERsyncv2hsyncvsync2lsync2hsync2synchDESUT_VHDL_PACKAGE_DECL|File||C:/hharte/work/HarteTec/cores/wb_lpc/examples/pci_lpc/pci_lpc.ucf|PLUGIN_AssocModule|1204656398|PLUGIN_AssocModuleFILE_UCF|Module||pci_lpc.ucfpci_lpc.ucfDESUT_UCFAutoGeneratedViewVIEW_AssignPackagePinsTBIND_XSTAssignPackagePinsTRAN_assignPackagePinsVIEW_XSTPreSynthesisTBIND_EditConstraintsTextAppTRAN_editConstraintsVIEW_PreSynthEditConstraintsTBINDEXT_XSTPreSynthesisToStructural_spartan3TRAN_copyPreSynthesisToStructuralForBitgenTRANEXT_xstsynthesize_spartan3TRAN_copyPreSynthesisToStructuralForTranslateVIEW_StructuralTBIND_StructuralToPost-SynthesisAbstractSimulationTRAN_postSynthesisSimModelModule|pci_lpcVIEW_Post-SynthesisAbstractSimulationTBINDEXT_StructuralToTranslation_FPGATRAN_copyStructuralToTranslationForBitgenTRAN_copyStructuralToTranslationForConstraintsTRANEXT_ngdbuild_FPGAVIEW_TranslationTBIND_xlateFloorPlannerTRAN_xlateFloorPlannerVIEW_Post-TranslateFloorPlannerTBIND_xlateAssignPackagePinsTRAN_xlateAssignPackagePinsVIEW_Post-TranslateAssignPinsTBIND_TranslationToPost-TranslateFormalityNetlistTRAN_postXlateFormalityNetlistVIEW_Post-TranslateFormalityNetlistTBIND_TranslationToPost-TranslateAbstractSimulationTRAN_postXlateSimModelVIEW_Post-TranslateAbstractSimulationTBIND_Post-TranslateAbstractToTBWPreSimulationTRAN_createPostXlateTestBenchTRAN_copyPost-TranslateAbstractToPreSimulationVIEW_TBWPost-TranslatePreSimulationTBIND_Post-TranslateAbstractToPreSimulationVIEW_Post-TranslatePreSimulationTBIND_NGCAssignPackagePinsTRAN_ngcAssignPackagePinsVIEW_ngcAssignPackagePinsTBIND_CreateTimingConstraintsTRAN_createTimingConstraintsVIEW_Post-TranslateTimingConstraintsTBIND_CreateAreaConstraintsTRAN_createAreaConstraintsVIEW_Post-TranslateAreaConstraintsTBINDEXT_TranslationToMap_spartan3TRAN_copyTranslationToMapForBitgenTRANEXT_map_spartan3VIEW_MapTBIND_preRouteTrceTRAN_preRouteTrceVIEW_Post-MapStaticTimingTBIND_mapFpgaEditorTRAN_mapFpgaEditorVIEW_Post-MapFpgaEditorTBIND_mapFloorPlannerTRAN_mapFloorPlannerVIEW_Post-MapFloorPlannerTBIND_MapToPost-MapAbstractSimulationTRAN_postMapSimModelVIEW_Post-MapAbstractSimulationTBIND_Post-MapAbstractToTBWPreSimulationTRAN_createPostMapTestBenchTRAN_copyPost-MapAbstractToPreSimulationVIEW_TBWPost-MapPreSimulationTBIND_Post-MapAbstractToPreSimulationVIEW_Post-MapPreSimulationTBINDEXT_MapToPar_spartan3TRAN_copyMapToParForBitgenTRANEXT_par_spartan3VIEW_ParTBIND_postRouteTrceTRAN_postRouteTrceVIEW_Post-ParStaticTimingTBIND_postParPrimetimeNetlistTRAN_postParPrimetimeNetlistVIEW_PrimetimeNetlistTBIND_parFpgaEditorTRAN_parFpgaEditorVIEW_Post-ParFpgaEditorTBIND_parFloorPlannerTRAN_parFloorPlannerVIEW_Post-ParFloorPlannerTBIND_genPowerDataTRAN_genPowerDataVIEW_FPGAGeneratePowerDataTBIND_createIBISModelTRAN_createIBISModelVIEW_IBISModelTBIND_XpowerTRAN_XPowerVIEW_FPGAAnalyzePowerTBIND_ParToPost-ParFormalityNetlistTRAN_postParFormalityNetlistVIEW_Post-ParFormalityNetlistTBIND_ParToPost-ParClockRegionTRAN_clkRegionRptVIEW_Post-ParClockRegionReportTBIND_ParToPost-ParAsyncDelayTRAN_asynDlyRptVIEW_Post-ParAsyncDelayReportTBIND_ParToPost-ParAbstractSimulationTRAN_postParSimModelVIEW_Post-ParAbstractSimulationTBIND_Post-ParAbstractToTBWPreSimulationTRAN_createPostParTestBenchTRAN_copyPost-ParAbstractToPreSimulationVIEW_TBWPost-ParPreSimulationTBIND_TBWPost-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuse(bencher)VIEW_TBWPost-ParFuseTBIND_TBWPost-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModel(bencher)VIEW_TBWPost-ParSimulationISimTBIND_Post-ParAbstractToPreSimulationVIEW_Post-ParPreSimulationTBIND_Post-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuseVIEW_Post-ParFuseTBIND_Post-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModelVIEW_Post-ParSimulationISimTBIND_ParToMpprResultTRAN_copyMpprRsltVIEW_MpprResultTBIND_ParToLockedPinConstraintsTRAN_genLockedPinConstraintsVIEW_LockedPinConstraintsTBIND_ParToBackAnnoPinLocationsTRAN_backAnnoPinLocationsVIEW_BackAnnoPinLocationsTBINDEXT_ParToFPGAConfiguration_spartan3TRANEXT_bitFile_spartan3VIEW_FPGAConfigurationTBIND_analyzeDesignUsingChipscopeTRAN_analyzeDesignUsingChipscopeVIEW_AnalyzedDesignTBIND_UpdateBitstreamXPSTRAN_xpsUpdBitstreamVIEW_UpdatedBitstreamTBIND_FPGAConfigurationToFPGAGeneratePROMTRAN_genImpactFileVIEW_FPGAGeneratePROMTBIND_FPGAConfigurationToFPGAConfigureDeviceTRAN_impactProgrammingToolVIEW_FPGAConfigureDeviceTBIND_XSTAbstractToPreSynthesisTRAN_copyAbstractToPreSynthesisForBitgenTRAN_copyAbstractToPreSynthesisForTranslateTRAN_convertToHdlTRAN_copyAbstractToPreSynthesisForSynthesisVIEW_XSTAbstractSynthesisTBIND_InitialToXSTAbstractSynthesisTRAN_copyInitialToXSTAbstractSynthesisVIEW_InitialTBIND_InitialToAbstractSimulationTRAN_copyInitialToAbstractSimulationVIEW_AbstractSimulationTBIND_AbstractToPostAbstractSimulationTRAN_copyAbstractToPostAbstractSimulationVIEW_PostAbstractSimulationTBIND_PostAbstractToTBWPreSimulationTRAN_viewBehavioralTestbenchTRAN_copyPostAbstractToPreSimulationVIEW_TBWPreSimulationTBIND_TBWPreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuse(bencher)VIEW_TBWBehavioralFuseTBIND_TBWBehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModel(bencher)VIEW_TBWBehavioralSimulationISimTBIND_PostAbstractToPreSimulationVIEW_PreSimulationTBIND_PreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuseVIEW_BehavioralFuseTBIND_BehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModelVIEW_BehavioralSimulationISimTBIND_PostAbstractToAnnotatedPreSimulationTRAN_viewBehavioralTestbenchForAnnoTRAN_copyPostAbstractToAnnotatedPreSimulationVIEW_AnnotatedPreSimulationTBIND_PreToGenerateAnnotatedResultsFuseTRAN_ISimGenerateAnnotatedResultsRunFuseTRAN_copyPreToGenerateAnnotatedResultsFuseForTBWVIEW_AnnotatedResultsFuseTBIND_FuseToAnnotatedResultsISimTRAN_ISimGenerateAnnotatedResultsTRAN_copyFuseToAnnotatedResultsISimForTBWVIEW_AnnotatedResultsISimTBIND_AnnotatedToGenerateExpectedSimulationResultsISimTRAN_ISimGenerateExpectedSimulationResultsVIEW_ExpectedSimulationResultsISimTBINDEXT_InitialToCommon_FPGATRANEXT_compLibraries_FPGAVIEW_CommonDESPF_TRADITIONALPROP_PreferredLanguageVerilogPROP_SimulatorModelsim-XE VHDLISE Simulator (VHDL/Verilog)Other MixedOther VerilogOther VHDLVCS-MXi MixedVCS-MXi VerilogVCS-MXi VHDLVCS-MX MixedVCS-MX VerilogVCS-MX VHDLNC-Sim MixedNC-Sim VerilogNC-Sim VHDLModelsim-XE VerilogModelsim-PE MixedModelsim-PE VerilogModelsim-PE VHDLModelsim-SE MixedModelsim-SE VerilogModelsim-SE VHDLPROP_Synthesis_ToolXST (VHDL/Verilog)PROP_Top_Level_Module_TypeHDLVHDLPROP_DevSpeed-5-4PROP_DevPackagefg320fg456PROP_DevDevicexc3s50xc3s400xc3s1500lxc3s1500xc3s1000lxc3s1000xc3s200tq144pq208ft256PROP_ParSmartGuideFileNamePROP_SmartGuidePROP_TopDesignUnitModule|pci_lpc_hostNCD files (*.ncd)|*.ncdPROP_MapSmartGuideFileNamePROP_ISimSpecifyDefMacroAndValuePROP_ISimSpecifySearchDirectoryPROP_ISimSpecifySearchDirPROP_ISimValueRangeCheckPROP_ISimCompileForHdlDebugPROP_ISimIncreCompilationPROP_xstVeriIncludeDirPROP_tbwPostParTestbenchNamePROP_tbwTestbenchTargetLangPROP_tbwPostMapTestbenchNamePROP_tbwPostXlateTestbenchNamePROP_PostParSimModelNamepci_lpc_host_timesim.vPROP_SimModelTarget_timesim.vPROP_PostMapSimModelNamepci_lpc_host_map.v_map.vPROP_PostXlateSimModelName_translate.vpci_lpc_host_translate.vPROP_SimModelRenTopLevEntToPROP_SimModelGenArchOnlyPROPEXT_xilxBitgCfg_DCIUpdateMode_spartan3As RequiredPROPEXT_xilxBitgCfg_Rate_spartan3Default (6)PROPEXT_xilxSynthAddBufg_spartan3PROPEXT_xilxSynthMaxFanout_virtex2PROPEXT_SynthMultStyle_virtex2AutoPROPEXT_xilxMapGenInputK_virtex24PROP_MapRegDuplicationPROP_xilxMapTimingDrivenPackingPROP_MapLogicOptimizationPROP_MapPlacerCostTablePROP_MapExtraEffortNonePROP_MapEffortLevelMediumHighStandardContinue on ImpossibleNormalPROP_xilxBitgStart_Clk_MatchCyclePROP_xilxBitgCfg_DCMShutdownPROP_xilxBitgCfg_GenOpt_EnableCRCPROP_xilxBitgCfg_GenOpt_IEEE1532FilePROP_xilxBitgCfg_GenOpt_CompressPROP_xilxBitgCfg_GenOpt_ASCIIFilePROP_xilxBitgCfg_GenOpt_BinaryFilePROP_xilxBitgCfg_GenOpt_BitFilePROP_xilxBitgCfg_GenOpt_DRCPROP_parMpprNodelistFilePROP_xilxPARstratNormal Place and RouteAll files (*)|*PROP_parMpprResultsDirectoryPROP_parMpprResultsToSavePROP_parMpprParIterationsPROP_mpprRsltToCopyPROP_mpprViewPadRptForSelRsltPROP_mpprViewPadRptsForAllRsltPROP_mpprViewParRptForSelRsltPROP_mpprViewParRptsForAllRsltPROP_par_otherCmdLineOptionsPROP_parPowerReductionPROP_parGenSimModelPROP_parGenTimingRptPROP_parGenClkRegionRptPROP_parGenAsyDlyRptPROP_xilxPARuseBondedIOPROP_parUseTimingConstraintsPROP_xilxPARplacerCostTablePROP_xilxPARextraEffortLevelPROP_xilxPARrouterEffortLevelPROP_xilxPARplacerEffortLevelPROP_xilxPAReffortLevelPROP_map_otherCmdLineOptionsPROP_xilxMapSliceLogicInUnusedBRAMsPROP_xilxMapPackfactorPROP_xilxMapDisableRegOrderingPROP_xilxMapPackRegIntoFor Inputs and OutputsPROP_mapUseRLOCConstraintsPROP_xilxMapReportDetailPROP_xilxMapCoverModeAreaPROP_xilxMapAllowLogicOptPROP_xilxMapReplicateLogicPROP_xilxMapTrimUnconnSigPROP_xilxNgdbldPresHierarchyPROP_xilxNgdbldURPROP_xilxNgdbldUnexpBlksPROP_xilxNgdbldIOPadsPROP_xilxNgdbldNTTypeTimestampPROP_ngdbuildUseLOCConstraintsPROP_xilxBitgCfg_GenOpt_IEEE1532File_xbrPROP_UseDataGatePROP_xcpldFitDesVoltLVCMOS18PROP_xcpldFitDesTriModeKeeperPROP_xcpldFitDesUnusedPROP_xcpldFitDesInputLmt_xbrPROP_xcpldFitDesInReg_xbrPROP_xcpldFitTemplate_xpla3Optimize DensityPROP_xcpldFitDesPtermLmt_xbrPROP_FunctionBlockInputLimitPROP_FitterOptimization_xpla3DensitySpeedPROP_xstUseSyncResetYesPROP_xstUseSyncSetPROP_xstUseClockEnablePROP_xilxSynthRegDuplicationPROP_xstOptimizeInsPrimtivesPROP_xstSlicePackingPROP_xstPackIORegisterPROP_xstMoveLastFfStagePROP_xilxSynthRegBalancingNoPROP_xstMoveFirstFfStagePROP_SynthLogicalShifterExtractPROP_SynthShiftRegExtractPROP_SynthEncoderExtractPROP_SynthDecoderExtractPROP_SynthMuxStylePROP_SynthExtractMuxMUXCYMUXFPROP_xstROMStylePROP_SynthExtractROMBlockDistributedPROP_SynthRAMStylePROP_SynthExtractRAMPROP_xstFsmStyleLUTPROP_xstCrossClockAnalysisPROP_xstSliceUtilRatioPROP_xstWriteTimingConstraintsPROP_xstCoresSearchDirPROP_xstReadCoresPROP_xstAsynToSyncPROP_xstBRAMUtilRatioPROP_xstAutoBRAMPackingPROP_xilxSynthGlobOptAllClockNetsPROP_CompxlibXlnxCoreLibPROP_impactConfigFileNamePROP_impactConfigModePROP_ImpactProjectFileDesktop ConfigurationSelect MAPSlave SerialBoundary ScanISC files (*.isc)|*.iscCMD files (*.cmd)|*.cmdHEX files (*.hex)|*.hexMCS files (*.mcs)|*.mcsEXO files (*.exo)|*.exoCDF files (*.cdf)|*.cdfBIT files (*.bit)|*.bitPROP_AceActiveNamePROP_AutoGenFilePROP_primeTopLevelModulePROP_primeCorrelateOutputPROP_primeFlatternOutputNetlistPROP_primetimeBlockRamDataPROP_xilxPostTrceTSIFilePROP_xilxPostTrceStampPROP_PostTrceFastPathPROP_xilxPostTrceUncovPathPROP_xilxPostTrceSpeedAbsolute MinPROP_xilxPostTrceAdvAnaPROP_xilxPostTrceRptLimitPROP_xilxPostTrceRptError ReportPROP_PreTrceFastPathPROP_xilxPreTrceUncovPathPROP_xilxPreTrceSpeedPROP_xilxPreTrceAdvAnaPROP_xilxPreTrceRptLimitPROP_xilxPreTrceRptPROP_CurrentFloorplanFilePROP_xilxBitgCfg_GenOpt_MaskFilePROP_xilxBitgCfg_GenOpt_ReadBackPROP_xilxBitgCfg_GenOpt_LogicAllocFilePROP_xilxBitgReadBk_GenBitStrPROP_xilxBitgReadBk_SecEnable Readback and ReconfigurationPROP_xilxBitgStart_Clk_DriveDonePROP_xilxBitgStart_Clk_RelDLLDefault (NoWait)PROP_xilxBitgStart_Clk_WrtEnPROP_xilxBitgStart_Clk_EnOutDefault (5)PROP_xilxBitgStart_Clk_DoneDefault (4)PROP_xilxBitgStart_IntDonePROP_xilxBitgStart_ClkCCLKPROP_xilxBitgCfg_Code0xFFFFFFFFPROP_xilxBitgCfg_UnusedPull DownPROP_xilxBitgCfg_TMSPull UpPROP_xilxBitgCfg_TDOPROP_xilxBitgCfg_TDIPROP_xilxBitgCfg_TCKPROP_xilxBitgCfg_DonePROP_xilxBitgCfg_PgmPROP_xilxBitgCfg_M2PROP_xilxBitgCfg_M1PROP_xilxBitgCfg_M0PROP_xilxBitgCfg_ClkPROP_bitgen_otherCmdLineOptionsPROP_xilxBitgCfg_GenOpt_DbgBitStrPROP_CompxlibCPLDDetLibPROP_CompxlibAbelLibPROP_CompxlibUni9000LibPROP_CompxlibLangAllPROP_PlsClockEnablePROP_xilxSynthKeepHierarchy_CPLDPROP_xilxSynthXORPreservePROP_xilxSynthMacroPreservePROP_taengine_otherCmdLineOptionsPROP_xcpldFittimRptOptionSummaryPROP_impactConfigFileName_CPLDPROP_hprep6_otherCmdLineOptionsPROP_hprep6_autosigPROP_xcpldUseGlobalSetResetPROP_xcpldUseGlobalOutputEnablesPROP_xcpldUseGlobalClocksPROP_xcpldFitDesSlewFastPROP_cpldfitHDLeqStyleSourcePROP_fitGenSimModelPROP_cpldfit_otherCmdLineOptionsPROP_xcpldFitDesMultiLogicOptPROP_cpldBestFitPROP_CPLDFitkeepioPROP_xcpldFitDesTimingCstPROP_xcpldFitDesInitLowPROP_xcpldUseLocConstAlwaysPROP_EnableWYSIWYGPROP_MapPowerReductionPROP_Enable_Incremental_MessagingPROP_Enable_Message_FilteringPROP_Enable_Message_CapturePROP_FitterReportFormatHTMLPROP_FlowDebugLevelPROP_UserConstraintEditorPreferenceConstraints EditorPROP_UserEditorCustomSettingPROP_UserEditorPreferenceISE Text EditorPROP_XplorerModeOffPROP_SimModelInsertBuffersPulseSwallowPROP_SimModelAutoInsertGlblModuleInNetlistPROP_SimModelGenMultiHierFilePROP_SimModelRetainHierarchyPROP_PostSynthSimModelNamepci_lpc_host_synthesis.vPROP_SimModelIncUnisimInVerilogFilePROP_SimModelIncSimprimInVerilogFilePROP_xstSafeImplementPROP_SynthFsmEncodePROP_XPowerOtherXPowerOptsPROP_XPowerOptBaseTimeUnitpsPROP_XPowerOptUseTimeBasedPROP_XPowerOptLoadVCDFileDefaultusfsnsPROP_XPowerOptNumberOfUnitsPROP_XPowerOptInputTclScriptPROP_XPowerOptLoadPCFFilePROP_XPowerOptOutputFilePROP_XPowerOptLoadXMLFilePROP_XPowerOptMaxNumberLinesPROP_XPowerOptVerboseRptPROP_XPowerOptAdvancedVerboseRptPROP_xilxSynthKeepHierarchyPROP_xilxNgdbldMacroPROP_xilxNgdbld_AULPROP_SynthXORCollapsePROP_ngdbuild_otherCmdLineOptionsPROP_impactPortparport0 (LINUX)/dev/ttyb (UNIX)/dev/ttya (UNIX)USB 2 (PC)USB 1 (PC)USB 0 (PC)COM 3 (PC)COM 2 (PC)COM 1 (PC)LPT 3 (PC)LPT 2 (PC)LPT 1 (PC)LPT 0 (PC)PROP_impactBaud5760038400192009600PROP_ibiswriterShowAllModelsPROP_ISimCustomCompilationOrderFilePROP_ISimUseCustomCompilationOrderPROP_ISimSDFTimingToBeReadSetup TimePROP_ISimVCDFileName_par_tbwxpower.vcdPROP_ISimGenVCDFile_par_tbwPROP_ISimUseCustomSimCmdFile_par_tbwPROP_ISimVCDFileName_par_tbPROP_ISimGenVCDFile_par_tbPROP_ISimUseCustomSimCmdFile_par_tbPROP_ISimStoreAllSignalTransitions_behav_tbwPROP_ISimUseCustomSimCmdFile_behav_tbwPROP_ISimStoreAllSignalTransitions_behav_tbPROP_ISimUseCustomSimCmdFile_behav_tbPROP_ISimStoreAllSignalTransitions_par_tbwPROP_ISimStoreAllSignalTransitions_par_tbPROP_ISimSimulationRunTime_behav_tbw1000 nsPROP_ISimSimulationRun_behav_tbwPROP_ISimSimulationRunTime_behav_tbPROP_ISimSimulationRun_behav_tbPROP_ISimSimulationRunTime_par_tbwPROP_ISimSimulationRun_par_tbwPROP_ISimSimulationRunTime_par_tbPROP_ISimSimulationRun_par_tbPROP_ISimCustomSimCmdFileName_gen_tbwPROP_ISimUseCustomSimCmdFile_gen_tbwPROP_ISimCustomSimCmdFileName_behav_tbwPROP_ISimCustomSimCmdFileName_behav_tbPROP_ISimCustomSimCmdFileName_par_tbwPROP_ISimCustomSimCmdFileName_par_tbPROP_ISimUutInstNameUUTPROP_xstEquivRegRemovalPROP_xilxSynthAddIObufPROP_SynthResSharingPROP_SynthCaseImplStylePROP_xstBusDelimiter<>PROP_xstHierarchySeparator/PROP_xstGenerateRTLNetlistPROP_xst_otherCmdLineOptionsPROP_xstVerilogMacrosPROP_xstGenericsParametersPROP_xstUserCompileListPROP_DesignNamePROP_PartitionForcePlacementPROP_PartitionForceTranslatePROP_PartitionForceSynthPROP_PartitionCreateDeletePROP_xstVerilog2001PROP_xstIniFilePROP_xstWorkDir./xstPROP_xstCaseMaintainPROP_xstLibSearchOrderPROP_xstUseSynthConstFilePROP_SynthConstraintsFileCST files (*.cst)|*.cstXCF files (*.xcf)|*.xcfPROP_SynthOptEffortPROP_SynthOptPROP_SimModelNoEscapeSignalPROP_SimModelPathUsedInSdfAnnPROP_SimModelIncSdfAnnInVerilogFilePROP_SimModelIncUselibDirInVerilogFilePROP_SimModelRenTopLevModPROP_SimModelOtherNetgenOptsPROP_SimModelOutputExtIdentPROP_SimModelRenTopLevInstToPROP_SimModelGenerateTestbenchFilePROP_SimModelRenTopLevArchToStructurePROP_SimModelRocPulseWidthPROP_SimModelBringOutGsrNetAsAPortPROP_SimModelGsrPortNameGSR_PORTPROP_SimModelTocPulseWidthPROP_SimModelBringOutGtsNetAsAPortPROP_SimModelGtsPortNameGTS_PORTPROP_ChangeDevSpeedPROP_CompxlibSimPrimativesPROP_CompxlibUniSimLibPROP_CompxlibOtherCompxlibOptsPROP_CompxlibOverwriteLibOverwritePROP_CompxlibSimPathSearch in PathPROP_CompxlibOutputDir$XILINX//PROP_MSimSDFTimingToBeReadPROP_ModelSimConfigNamePROP_ModelSimUseConfigNamePROP_ModelSimSimRunTime_tbw1000nsPROP_SimDoPROP_SimCustom_postParPROP_SimUseCustom_postParDO files (*.do)|*.doPROP_SimCustom_postMapPROP_SimUseCustom_postMapPROP_SimCustom_postXlatePROP_SimUseCustom_postXlatePROP_SimUserCompileList_behavPROP_SimCustom_behavPROP_SimUseCustom_behavPROP_SimGenVcdFilePROP_ModelSimUutInstName_postFitPROP_ModelSimUutInstName_postParPROP_ModelSimUutInstName_postMapPROP_ModelSimSimRunTime_tbPROP_SimUseExpDeclOnlyPROP_SimSyntax9387PROP_ModelSimSimResDefault (1 ps)100 sec10 sec1 sec100 ms10 ms1 ms100 us10 us1 us100 ns10 ns1 ns100 ps10 ps1 ps100 fs10 fs1 fsPROP_ModelSimDataWinPROP_ModelSimProcWinPROP_ModelSimVarsWinPROP_ModelSimListWinPROP_ModelSimSourceWinPROP_ModelSimStructWinPROP_ModelSimWaveWinPROP_ModelSimSignalWinPROP_vcom_otherCmdLineOptionsPROP_vlog_otherCmdLineOptionsPROP_vsim_otherCmdLineOptionsPK +h__5__OBJSTORE__/ProjectNavigator/__stored_object_table__ +8_ K + +F? +G +QB +#~ +& + D +d +v{ +  +69 } +I + +$? + + +z + +pB0 +h +2 +E +[ + +} +g9Z +> } +\4 +Y5_ + t +JL } +~ + +& +-. +R + D +k +w + +T^i +# + + k +' + +H + + +޷ + +02g +IF +H] +i +So +p +j +a + + +i +Mi +D +a g + +$H +Gx +ܵ- + +] + +g +& +j +e +  +Lq + +" += + + +k +b + +p + +׷M +v }T| +gNU +~ +k< +g +[ +C3 +D2 +* +|? +n + +% }E +t? +K3 + +( +a +>( +u= +- +0 }y + +\@u + +C i + + +?: } + + +: +` +\] +2 +: +m + + +Ns< } + +K +ϔ +8F + +r +T! + +u }R + + + +Tm }[ +] +H }L +h +i' +C: +[ +,a +!@ +-< +̧ +D + +l; +_ +F +M +[ }UH }  + + k + + += +P + + + +ݟ +M + + + 7 +E +} +J + +VW }A + +! +5 > +{b +| i +F }^ +Q + + *w +U3< + +r  + + +v +Z +Z' +xH + * R + +M +~ +?% +# +w + E +7 + + +e +Li[ + +> +0 +? +Ep + }H# +C +l|F +}- + + + +  + +8 +" +{ +GZ& }R m +q +| +ts +  +  +ă Q + +B +` +ьS +hC. + +2 +| +J +F +s ; +c +S +kI +@ +^ +R^ +  +ā +M1 +^u +f2 +x +K +Df + +r +<S }p +{[& +6 +ګ +: +` + j + +S +! + q +8h + +C* +~ + +[  +R +\ +x +4 ++i> +p + }] +Y +i3 + +Z +* +U + +Y + +6$ +8 }s +bt +8 +W +H x +% +_x 2 +c }c +9J +q +[@ +;^ +Xa + +pH +U + +R +i + +E + + + +Ֆ% +6 R + +) +7k +ŕd + +M/ + + +s V + + + +N> +h G + +nm + A +EZL +  + }B + +t  +o +l +M} +Rs +n +W +R8  + + + +1& + e +! +md > +f +dWE + M +A) + +s p + + +o +:+ +h&} +Z{ +S} + +L +F= +X' + +0 +m{ +g + +M +w!/ +p +  +ٯ +06= +- +m + +0* +( + +c +@ + +1 +H +7 +r^R +A +zz }PUn +L +Msw }S }~ +:y +` +[> +y +v% +CX +M6 +g +? +O +\ +^4o +\ + +A +_? +k + +* +[ +-~ + +OFo + + +c + +)U + +Hv +J +d +H +͡p +q +f + + +d +ܿ U +N +u  +Zf +C + +] & +ԾV +Gd] += }Z6 +r + M +xL +? v +f +Z }O }Ic` +W + +_ +N. +V +\ +- +e +v2 + +X + +u + +" += +>mf +Ԙ +į +uoa +H +e += +2 + + +m +\ +y! +R +eN } +X + +4 ++ +;9 +^, +g +N + +#@ +S| }M +4 a +  +ēD } +) +d +^z + + +<2 +j +K| + +UD += + d += + +\7 +˳c +r +ض +] + + +! +  + +- +y + e +C_ +Nh +^ + + }A-c +H +; + +J' +M +qK +@G +? +? +j9 +> +q + + +*, +*o / +SG + +G +}e p +: +K +' +C +  +@'h + + +S + +: +* +X + 0 + + +mR +C + + 9 +0 +r + +ۧV +j +az +~ + +K " +{f +%) +ɏ l + + + +zo +S +(G +O] +f +b +q~ +t + x +E +? ++  +K + +me + +ts +O^ +se +_ +Ӓa +9 +g +% +Kd +c5 [ +Xq }X n +O + +  +X> +i + +D +) + Q + + +( ] +v + o +< + +a +: +d + + h +H +XA +?Y7 +D } +gp Z + +>T + +CJ +S. }m: +҂ +Za +fA +d +9 +{K  + + + +O + + + +7 +9* }{ + + + + +] }i + +e +I 9 + +) }| 1 + +  +ď +- + f +, +\# +fP }x + +w +^v + +E + +G +<= +d +S +i }` +| + +I + + + + / + + t + ` +? } + + \ + X +h }_M  + +o +J +[o +Ӌ +s9 + z +^ - +b* +| +] & + +# +ng }cA +Y + + +ʗ +Z +m " + + +` \ += +N8 +x +v +"> +[S Q + + +/O + + v +_ +2 + +v +N +d2 + + + + +K2 + + +QV + +r +L7 +s + +a +l +O  +č +R +l +M2 +~ +ge +_ +HZ +Ψ> +2 +y +^\ + +Et +7 +V a +4 + ) +b +2 +B +K + +Z +N + +} +T + +E }| + +u +f +o +o +@! }| +W +M_ + +Zv +!! + +g +# +^R + +)X +Ѳ O +) + + +@ +Q0 F + +ߍ +y +hXI } +oh? +Q + + +i +LU +) +k + + +HP +Xm +Z + } + +e +# + + +{ +_ +l +^ + +{_ + + +W +`~ +tJ } + +^ + + +O +w + + +` +y + +k> +/ +0 +? +[d + +\ +: +E  +n +3 +LA +m +1 + +]& + f +L9 +dF + +] +c +k + +q + + +_ + + )p +8 +jW + +q +i; + +d" + +ӧ +a! +O +yS +ȩb + + + +]C +' + +kPr +E +R_ }g +` + +\ + +Mt + +; }< +F + +p +  +1  +{ c +}v +iz +KFu +j + g + + +2 + +M +J +[ +G +q + +'X +{ + +x]W + + +} + +^p +M$ +4v + +t +t +(H8 +> +u + +K +jz + + +ĕ +v +*( + +2 + +)n +8 o +^B +O + +1 +] +[ +2z\ +i] + +N +- +@ + +^xy +@ + 9 + +T+ + + + +nu + + + +/ +{ +t + + + +c5 +T +6 +{e +' +F +o.* +x + + +*f }bg  +a + +f +RL + +; +ęl }\1 +w + +H +vO\ +5 +7pA +q + + +%Y + + + +S& +U + + +z + + + +v +JF +L +~ +ߗ +w{ +/ T +U W +M +b +, +[< + +4 k +B +5u + +LK +w +} + [ +m + + + +a +Y + +S +$ } +* + +6 }u + +r +JP + + +r*: +I  +( + +QZ +Q + +P + += +uN +w +Z + K + +} +\ +I1 + + +  +a? +^h + + + +D +N + , +3 + +5] +߳ + w +AA }v +`k +fG +gk} + +. +It }V + u +F +̀ % +Q +Z/ +ĝu +pd +gg + +C +p }Y + +\\ +B4 + + +3 +3hd +DM +P + +v +[ + + + +H +j + +p + + + +P +f# +Nd{ +\ + + r +6 d +b +^`} }L + + + h +  +1 +R +Pj +Q + +p( +Խ +F +> +s +` +Yg +M8 +i + +E + +z ~ +G0 +p +oz +A +0 + +b + +. +92 +b +~ +P +Pz + +G\ +T N +Er }X +K + + +I +$ +s + } +9 + h +o + +@ +? +, +^| R + + +m +  +^C +E +c + +ݮ@ }X" + + +m + +w + +bj( +\ +a c +Y +#g8 +j:  + +$ + +^rM }¸ +g + +C = + W +J +L_ + + + +G + + L +$ +w + +݃= +| +1 +:k X +U + +!H +\Z +, +OI +} + +E +_ +4: Y + +K +^s }Wg +]_ +$ +Q +u3 ++ +y + +Jt + + + +H +( } +G[ + +  +ą +a +t +E + +eLZ +B + +y +}, +8B +CX +h s + + + +/ +n& +` +o* +z + + + + x +ڏ +V +5| + +P +r&. +h _ +D + 8 +cf +H +@h += + S + A +X }D +_a }e] +Q + +{ - +( +) +, + + +| +b +'\ +HC +/ +ݻ% }& +JH + +e  +1 +yW + + +LG }Rh + + +̫! + +Lw + + + ++ ++ }{6 +M +3> + L +c +o +֪p +h 1 +z + +$. +Ƀ +UI +_"n +* + + +O +!E + +M +`ad +jJ + +* +dl +ۋJ +@ + +Kr +^Vf + +J +\ +8 +{ + +L +% +6ce +R +J<h +_ +םO +fy ^ +I + +$ +qq +3 +c + +nD +| 5 + +f +N +n +G`l +)F +g + + + + 2 + + + +Y  +} +ij +QLP +F +]B +pw +c + +x + + + + +e + + + + + +<n + + +h& +! + + + 7 +i + +5 + } +hU +P + + X + +n +y +h& +xA +s + +*v +/ + +7 +a +^X + + 4 +; +r + + + +  +~v +5 +s +M + +e +% + + +ԣ + + + 0 +m +S +~ +^lk +<  + +^ 5 + +G + F +d +  +`< +e +s +S +ĥ +T +,l + } + +1k +d6 + +z  +a +o  + ! +a + +س +K< +}1 + +b +]b + +U + j + +' +R }o +M f +r + +w + +5 +T +d + +ۘ9 + + +^T7 }tN P + += +^p + +< +=a + +N +  + + + +X +/` +bE k + +cj7 +9 +j +[s +i + + = +r +j +ؗ +I +U- + +޷ +g +|\ }fa +[ ^ + +[w +x l +z + + + +96C +e +š + +qD i + +D +J( +& +N +y + +Y +R} q + +) + + , +Ni + +j +#d }^) +_ +' + +^~  +l + + + +! + +t + u +gt< +L +~ +\ +]c + + +8 + +n2 +PB + +k ++ +\-6 + +F +x +T + + . . +9 +oE +Y 3 + + +<! +yD +ͥ + W +J +l +0 +{ +_ + 6 +z + x +hm  +` + +u + + +% +N1 }xU +` + +n| +g +% + +! +bB +T- +E + +ã +3 +n + + +j +RJ + +s +sk +(T  +ğ + +J +YJ + ] +"m +) + + + + +M + + + Y + + +hg + +cr +/ +[h +(P + +4 + +o +ֿ * +q + +sZ + +' + + +E +P n +> +& + + + + +s +f  + +I +S0 + + + +q +y%_ +BK~ +[ +5 +Dm O + + + += +ZD +P r + + +L Z +s +D +J? +@ +: +{ + +PL 1 + +J{ }N? + + +j + + +P +D +H +P}Z +a0 +n +' + +K<  +3 +W + +jG + +CN  +ċ + +X + +0j + +{ +\ +C U +/ $ + +r +l + + +% +Y +kT +  +ģ +\ +ukn +ay }Qj +S + +D +: + +i# +. + + +,+ +JZ +3 +\ +ot +r + +- +yP  +  +(  +`@ + +q + +p +? + +  +1 +( + +Z3 +z + +xt +T  + c +" +o + + +G +; + +7 +b +">' +_ +8 + + + + +# +1  +ġ + +.4 }v +1 +t + + +mv +b + +n +i +| +C9 +N" + +ç: +=: + + +d +Y x }Q + + +O~ }JG8 + +! +e + + M +  +ĉY +G + += +/ +,( + +C + +v +P2 }x4 +; +\ +x +V +{ +W + + + + +9 +d +D +wd +" } + + +n +[ +ܣC +O + + +I< + +a + +M +I s +hk +I + +' + +l +o }Z[t + +k +i+i +&y + +~ + T + +j + + + +^P2 +B }j +| +71 +I +Y +O + +5* +^ +- + +E  +u +C + 0 + + +| + +Jp* +] +K +J +H +h` + +<V +Ғ +L +:  +JR +P +ma S +q 8 +,i + +|@ +  +pe }a + +ޛq +T3 +: +P; +? +[ ( + + + +h +3 + +L#k + +_4 +9e +$ +} +4 + +j? + +۷_ + + + +C +]fr + +Ӗ* +7 + + +[ +_ +, + T + + 2 +X +'1 + +j +3 + + +\ +EPg +1! +e~ +< +. +҄` +s +  +  +ǒ +u +[D_ + ++B + +k +DA +|3 +}h +cZ + + H + +| +E + + +f] +| + +" + $ + + +C ++ +x + + + +V +i +H` +. += +r + +" +I +]- \ +9 +K +N + +5 +/ + + +{ + + +( +P | +U + +  + +# +& +K + P +  +a + ++ +Y +, +p + + # + + + +Yk + + + +z +'J + d +# + p + +K + +gU }k, + +D5 +^[ +C } +I +@ + +u/ +M. a + + + ++, +$ +Y +W + +& +0yP + F +e/ +LB + +{ + +ė + +g +Fn +o +oI6 +z +S y +  +$a9 +== + + +) + + +~ +ce +$ +E; +{ +^n  + +I +  +) +!^ +{ +@ +f +p +ٓ +B +?G +6 ++ + +Q + +* + L +\a +!L + +u +Z { +M + +W +$l +: +.1 + } + + M + +j +p+ +` +2~ + +p +&7 +' +Dc +]^ }h/ }zt +OZ + +Iw +- +d + +;xY +9! + +c +|M + +h C +P + +' + + + +j }` b +u +q@g +% +q#T +G) +' +  +N +Fx +x +C + + +2 + +fT0 +QK +  +- +1" +E + +K +_  + +  +K +5 +z{ +=U +Q, +%x + +9' +6 + +o +twi +j +t; +' + +* +2T }ln + +Kw + I +T' }m +I +) +xe + +z +g +. +wV +^} +څ +^Z + + + +T +} + + : +ZV + +߉ +HW +e +!? +{ + +Y + + + + +$ +* +U +^ +n + +K +Y +Q + +$ [ +# + +l_ +f? + +S + + + + +% +8 + +m +BI +u + I +e +p" +D +D(8 + +q +D\ +R + + + +=> +F + +f +6 +3 +8 + +։x +N + +m/ +ɋj +'" +٫ +^f +X +a +թ +8 +Y" +xi +" +5* ; +0 +z +} + }Kk +6 +v +() + + + + + +> +; +^t +k +^b +& + +ۿO +C + + +M +} +eR +~ ` +S + 2 + +RB +[! + +sVL +~ +^R +D : +e@ +c  +a +6m +Y + +, + + ) +$ +~ +f5 +; +{ +W +( +6 +G +j +F3 b + +D +R +R +r4 + +i +': + + +T +0 +Z +0 +G + +V +; + +p +& + ++ + + +t +{$ +58 + +F + +% +JK +D + +PQ + +` +(Y +L + +O + +i +D + + + +q += +p +^ + +w% +I +S3 }wj/ +9{ +q +k +R +Q3 +_ +XB + 8 +i + P +Z + +Đ + +ě + +S/ +  +s + +V +u^O + +G + +u + +_ +@ +^> +> +i +E +Z +Y + +Z % + + +0o +i +9 + +ԇC +B + +$ + +* + G +R +Y" +c +,8 + +2[ +s +N  +#q + + +-? + +} + +` +K } +Qk }]= +Fp +G +i +P + +2 +כ  +đ +$ +F) + +r +l +S + +< +q +3); + +ձ +j + J +7 +< +wz +e +E B + +Y ? +d + +y +Xn }[. +y +PQ + ++  + + +'P +5. +; + +֤ +4) +_y + +Z + +O }V }ke V +L; +̊ + +l A +dMY }G# +y + +2V + + e +N +E +Mz +юP +ARs + + + + + +J +x + +% +B +|vo ++$7 +z + + +3 +^W +Q[ +1 4 +{ + S V +G +G +G +D + +8 z +f> +TC +I +o$ + + +\O + K +KP +E +Q +P +E +_\ +6 +{M +i| +@ +3 +r +# + j +D +1 +i +/ + +/ ++ + + ; +X +b }d +Q +Ї +1 +ea +7 + +r +#  +Y% +u +d + +4 +i + + K +e +{w +o +^V + +M- }o +K +H +E +6 +;R +FP +~4 +: +W +v +a + +e +` + +s + + +x +^d +L +R5 + + +* +Z +l +iH +} +v, +E +T +K + +3 +Kd0 +9 +) + +^j < + +, + +nT +Ѓ +n +u + o + +F + O +u + +ZJ + w +f +E ? +( + + 6W +M }O +~M + + m +j5 }u + +h _ +, }nd + + # }q + +jtS +{ +m + + +O +AV +/s +j +v + +{ + + +L , + + +k n +BP +.p +P +u } +L-t +j + +k z + +` }gG +cg +Թ +o # + + + + +A + +0 3 +] +L + + h? + +Y +p + + +xY + + + + +¯- +9h +&v +1 + +l +܇ +: +D +E +TT + t +h* + + +#$ 4 +  +K +LF +_t + + + +L + + +x4 +* +  + +  +ځ +$ + g +Jb +a]7 +<+4 +i +I^ + +K[ +B e +G  +ć + +p +5 +KZ + +F }7 + . + + +^ + + +! +C  +f N +9A +P~ +vSn + +Q +s +% +V7 + +H + +, +y7b +B +:w +iH +JH + +Q +o + +o4 +z^ +|F +9 +# +9& +X +X +\ +  +\z + U +: +v +i +7-BGGĐYK +t߅;.D<>ѻ9@Ƶ}z6 + Eb VtUC6b +$.FKȖh~߉ L1,C)~57rhH;9B¢|2!@ a^Ps +Fг;;A=z#J9œAW~=ПCJLya۰N̚?s*.CKه l^:HWrhT +RCMHfwMBML7rۏAToGpn<NxF2nIWf,yI(o$WNӮ0=Q!EGz]'Ѵ$$5IrKFI#a5;A٥C FȖ +DIf$\GK +z-ko_1Fu +19@^x0a=@آI e H>UHq:T9ox#/HMoEu'VIQql_/ިw;I(4B00\'F@5tG~v5J_)B kx~-~ѼuL$1 +NkݶrUN>DK`;t·K^А:coI4Yx y +EF}:5TۂJp< (MHQtځ9?a3ImQr;G(&z D V*IxcNKR;/+=bRIm(ℙ$CNE>f/POϻcwG8#Kc<})]S\3IөO_EFN>GCυCQ3se +:KNKi{fP]IjNO9 -E,p@{f7(*]I +@,iMAFӂxw>FwNgˑq.lLD <͋`@O1]4\)rMۤ>L~5%6^DӹB],)mfACCjϠ]D&JOEgwlJ싒=vPz,O| U2#&{FVG?ߊu@5p!0IkMVU +m4N==F5`i!9UL@>+5Z@Ǜ*ՓBz~W +C d5u|6Ki[Ȏ{>:=CE9@)(2O>4bKۍL4Qى2k Nlf;I{dI[Jؘ4|%OiKXHH.rM*V< u:&FIkǰcHu#(6JEMߑd1S5ML] 4-';F̅¬=2YB =w:X7/hJ穂=L?lcA5 ,MU>t +FS4*&=_H񞥿Rx)Kgy/~cbOymYHhO/+8 cYG(Juv +I,lɩކYPG?,,c$4DCbr_6pxNB`Vcvj?GD߯:MqU:Ƞ@%OްaAgje xMO +=&߈[;_Cs뢼n}#2DXFyD/͌e]_9GY)ib۟lJROwMϿ2'B%O3'nFcӵ!OLЛC555'Ay +H.OPG򈎫A@z7_MyvQiN͈k߼:1F{Ub +}TH`,q}oS+Lnq.51IzU,gdG|MBG_LQx&ipJXlU7nTN֜  .!b_G2DCԬ2C?M@ٌ`̅KSANTzm?G[8X[p.Hߊg[1@Ezo3B)8{LԜ +`Q>WN_BҌ[Hm( 'K +2rXC"xA ˂o}K=P_AY/"@&96BbA(l:jWbHx8?gb*N>UL;'];GNZN +N桸g~D_od̥EFzc|*dOICaSGEt,/IN .IbQ K]L +.g\D⿼vnD@ߗG;b= +uXmMċrv^|xFnҦ`~;JM ~&/DCH# +]^l9hMYʰ`eB:@CNĊt&O+pH*N5dwCkw~.BkuGC) I 4ڎO@ZJ%όgX_HݴTP5WAR)"Lm /\$+F0}\;7%FFcNrz`yů"4J,bUDfo[k %ϟ.J@ƔhB&q;cF,;)&McڜL@XPBo:SfBJ*+DJ+RZ#>IELqJqDݜ>Bu=S:~Ǖ9OジTˌmM΂VqM~ +8 M͏!RFO;I甆} wIN_[% +!Oa#neP_G҂߳G~'IULE[_O 5Ϳ? K{3DFHFEB>& ݲF//oD:)1eؗ_(O)1-/bDZȶ_l3ZH)WU~{mtwI8 +6֠46Bz9z=6@P;"P}:zJ#)D>BQ+zOL7os/МaHN{/A&k.ECQF ![B~*~\a.IJ'N~%뚼^N2 M(U[ +!k2Iba +|Ÿ́>DC!| +6̾o32KDk [1E!oT0ncKBǝs0f` +c@Y#0R xFޔr +w@'rqU(ab B?Z2DPW@W&TyHpتG+ODh*vWƵcgZ;D% YvO4C #-բ +T#I/S.PJFHϠ+.|B,N@\0KC lOV$BP/ڪ{}EL*tЄP)6N]!|GO.0Bhe=EމPeBL[x:KS0D+&.HVNT$u$N T@{Z"HE$6#ynCXz +Oj+N8H%I7wMϴBM\YZIwy}~hp QnHc蔫r3.LAs1gb`@/H˛0Dk(Ay͌BdI˶.*EGw++¿_G%DvT 4@r֬u +_?GE*@||aF xG3LՉ@j\T6*'CQkAƺJAʳH:tjʡJ7C,3L7/Z ߜS.F&fK +-mB1̆蔬]b|PYEgb^li~}ÜnK|&ˎxsLy +[ +KWBbp$[AW"%NKJl:!PEɾ+Fд1-*L": +LO$y@"3PQ"t I(Eà +yؠF-;=m1%@kǒrW @rBZ}I_nrO*3 _D˩}++O&ߡOK +OW)9`McJ}tʧILbvFՖl>GfX+D=a4KmF &;F,IpCJͺri,v6A8ԡ.[6TpEN.Ls=s7.CtVw"$՚Ns]x8>o{@Ih5]mu&w@RzNkKPF}QM7pZN65MT +#tnFAf~QJB䃙꾕|(N#MW@7Dߍ̛͎w~-F g:J^֢cFҌģrlIkf Ps>;lBW!~'Rl b>AT?\o8tE3(paŅ0(BHAک1fkYJݞ^Xda8'BB"5G{5`0FJ\u +9y[/Ce4[mC!xo`{8qQ%VBCH Q!?5vCϺƊ?фA=׉Y_R'7N1ܟI|QCL9$}~K^H.eboa㴦NxBCY'C1wc#E=ʺ-f™6E@n/z]%C?srnF's@fjȾz;BJ6s AHMPAKH0p2IP26Z*S1FEDNr!$f U}xE$u դq@ṕF^D3ISh1C9@y +dAb]Z*8o4J'{E@֒.S .ηmMqs6@K6qCK8SF\;JBҔa`o4k(KDnDH^<-Nm\B0W[ÄUaMܲ]m +*J;<]K%=3v%c@ܯPt a +_BɌ)"N>H#!G޽UpIf2oݚ) Oȳ똳q8Cbj]y<9@w0*Q֜̇LPl{~VBл+~e,كqJn俼뛲ݞLĮ?@[hfyecۻE'b:/>@9jl\~G>l*ne7U%B1ċꜽzG>FQR$9A  -1ND3`ޟ hO/C5 BۄB!ۋ lUh!L9OͣFǓC`$fGÛeߔ(r!RE.kcvVtC|K&`6{lsJ+_Q[3[ +pJ[9~k m "BU%bʥg+JOt^2pBh_!O P 6h[ +ONK3L( J55IS½X]h.RIh+ +SxܘOC#A*azՌwEgrDb!IϢ#xiH~nm/bAG%!w&'K h>@teֶL_ne^L]Oę$(M=㬇Jа)FXl/jDC]uLmLz5Vpc)\W4Eĕ3.Y(KZnKn 4XLz7AYF[uvǍ1L%QL!onϋ-lBPDEeK@+H|AC{wKpF;Jη +}rSHtI/m9 +< +azsOTh3=Di1 DOڸQr£}EFE&bܠML0YAԔLҬ~lTJ;I.6zcO_DFBS_ +3O 0unv>KOb9MLkcsqr{,@יՎ/uhև&Hx 9ӂ(B装{_~VBdCSFd=cMr&GWß&DDOSBɚNjqZ}O I|RK9%N^MH|/IH@C,֟~$nJfO.6C7DFQ/w7_=c|Ar$qi= + KEZg޴vOz҄F`88@- KLBس-,G` !E.LFetk7K C zD0CAg(MpiVL̂+xCxd+H*U`J9DD,ZUIRI#9XКH#p>2xHi@Ɖfyv(.x1A!Mh ӊB`7Y0SMzg[+T]/jDHgdK2BdY,N:jr蹱XZ?E켁B96[I[-C? +9fHA3xF7J$, B3.`+r:N6Q_=:emG ~9.WCLit5xY\| Hn^/+h-ǡH;ƹWtMCSKSgIѮcd ]H@̘&[9JBR =C6sOwp&zoHBo9Cb`O۰5Kz~~ +OG~zʏ&1ZG/xVV Lc&”Ի%GOzxbQX5/K'gLGޅ6hZ*lD +'=N0N\ZD^qASd?2Fjn}NfǀLEJ D$# &*)kOg7IKqY= rHǚ߉Apn9FĬAj7`%@ZKܒiEG>ZU!(CЕhC6'Cg&IG(Գ<}L*5&gA0|xG:Qͩ F֊<-0bD}bHqr*I, ,带aSGݑ#p9ܩ"a +K yQ3ʩIǵw$fDC+g>kBBMw XZ6"xXHM_FѨ +bJsG]!ۚo3N w]N}wdfCC/F4{!SD1'yNgY24@BmL K”00 +vɮ3\K4!" O8F>B-#_H©MBTȅZR)<1Mnjք="+Qm89@~XT +"JAߌP$3s<|i1L![N%F .gmHJSgM^{H.țLF[FĘL2KH +DΡJA$Òd(MLjskARI† + ]RcQ1,M25ٗ-,NF_B^;x_* y-gJzrSAD mkH%kUT۝D#<~YEČ 5\I<ɰuOɔCE3$`-'M>Ex E22] R.}J1rM 1ԁqPA衹'fl6@y"@?εK?O;#tChIFN Ef-2J8zAHOuD+EN8A~K"NB.j#Xu^N?["!XLVEJ>S% +NF̖TDNxPt2|ELJj} 9(v@@4k*xG}F{2zz؄ZE*'WKz*K iE0JdXhVGмV$R{;< +BLKr KmPCKPR3A.@ +<^t"FD؆-`?b쁂ٴuB6%h@KK_in7KLcnVG[93KMzd?)j =JC!TAKuTJKH5A#5LּL4qnn8$Dv㦰hr6ZcG_i)YN44 +,C|pSe67@ߴ. + +zIg@̽ + EG +B;wNY,R]2JHd 1;4FH0ӣ݃4rHљQYgIBļ4uSa=~ D@D4kbQYۘCL4teAߎu|2#nEG|^^ĄOה>RcVEdVOE/g%>mYjMjsyYuGm\sS¹@_K܍(VtITYKG +c$_8AbM Iz5?EGkC#;bG,|%HBT'jdpO|G_m' Ic4H~{_I@JȎև.ySOR4t\K{J!6G^O7kVDQ`@rTd]jB}\uxR$瑴GW! +F݌Fh"B9Wig);hGI̪3d{07 YBL-%YؕOo]| ƀQI;핛nOh:+DLI*ѽ1um\BЌL5UeBEToĚ}m<(NTlo2̚N7 +'C̝X墸\MC^f"SAüc"^7 P4Cyr#|J94^ߺk@5nBMȰxoQf'('@ѧIɻ=wA|KT2zmO4\_/}ؗ6E2@ +DHH"\Cq~=Fӻ+-6I +(s9 Aۅj*κKLJuJ?ʄqK *!k +Z4MYd..A[OqFZ z񒛌?C$᡽a8FNA +j߃>L$cM."@W7'ޤYҽːpYPN2cYBYfث7A}*Hua$d᧚1JLqEH'`!IĉQ=N~·6kB2ƄB jI%4QK/asPHE̙K<G@@ڈ7UrNͤ`z-L.=l< MYu3~ݵ%IKWgZO ¶lFБ7&=lMԽkBcL&}6[MT3@2ZwaE{ip[D#Asڔmi˜0JM"D96?E-S-\}<\FII'eד?Jl6 TB.c~?*mMkt7-WcޗGa֑H{MkU1zK+;AO 7un>PM2lw&0NvY7@grMDj[̇AQ:@>[H(=FsqAݻoЎ9CTKKK0^WωL4 =D.>GjG $5IX,v1*+DeO:J)ԉH!b^q +Q@Ϋ9D|Lt6|SHZ擵|*C`8'~~_L:PPD$#D +@"6Х NEe=ZK 4X;ANytWL繶7BO&FAD2هL8,iAB֣0XQjMWd +<OuEuZZZr%N=#sC|E3J-a~SCW4 lD9!I{+u5 \]Jحݽa62EmУeU #@ qa qT5HӾ}9żK'bB^b-ZDxHwRx4Ⱦ@yi!wI*IO^d mSc*dGM jόL_}ȋ#E$Hh 0`Z=H偭əWd+Le̕Id'LE"]يb]Jȫ JlKH,괽LED3,IF|A>sC뫵F&KW@V)Y@ +GVOisGg%aI6'< +!EgI|Hq>ܳL"{7^`ĬbCWot<Ќr;;JM^zOIb0t~:`Oթ:Z7s kH$;KS:X=[iHEDsB +eAA)ϖ=1CkelQH+ymÛCm.g HRBˢ08/%VMÀǗ[YjcaGx檾RawJjyf\"8( I⬷wcsI9vy +qI풎LӇN ++7A/NƧXưHk﹍NEТqJ0xc"cZPNmbJ$ov.J6Mu g[I_~q,y/:C%fC1qѳEC;F@K-BNZ}8q\d}]LUz}jtHz/@AxϘ4Jz~"ߔTBL9cS$DɚѰ/$Hi #T陉<[G_mf^ؓ\MbUm%@2 F(RҐIFCAㇾu#vڠE^VbA^DɜL/ IFL6qHsҘ)MS@ٶ$#CdO;Kz_Z jC&}3=D0?9otAREBN{Û}GFZ/Țm2 I7Я3 +Z׼"DׂLNja e:71Hm9˼`D'NhVnB\VNH

OEE)ApL +t۷LpYARV0C]t@?'*`aAN*y#up]UCBoUr18&(3Hބ+4JPbf\N_ v _}E<Q*Rꜯs Dǯ|j?I䶮|HC"K8H;T?DBߵ:]~O +m:C^5MmD6J +@:]sL˯3+-'Z C6 +C&K CF¯ + + <ImA0vvYQwCt58o"dYu=mFhtF=q T!e*qIύqJnEA:Gǔ>_GutP>_3FìOҸB- OI0(Dů;NGKߒDă`7g0qMy +ڢyEXL"9˘Dj0tGm,J݋)4[2Dw;UK@OltQtOb8BcA_P?,H)a5ĽefUG + be"TXKƭP&PFdF^ C+Ds(A2PohlR ʞ2Eq b!$RA3Rڦ~\DJ蛈$ɔC +<ľDLt|L١Nu Mr7:'#NG7hMgF7la +GYi9 xOZTaBDF\9L$bJam'r0CwjM,xG̔HF0Z.KhϫHͫ?p@KD=QqT#HZxMF#mN*~J֠g*qnNeo|ZBsHS a&*6BH +mZJMqvwEB́ 2F(=J YU(֯NnK[富,_B1MAhX)ٰcI˱:^TqSEAݜ0J7|5xfD^ $-$H*\lӻuM?oxTfA/E WE"RC ]je,nȣ,mDRG +dCW7Y +\ +^Jڌu?UCV2 +wB.wQPχDLҋp<H#@Z'VI{#C&MluoA@3I)uJ#X TtB9wiW"Ic9Uϸ]I6Lj3D͹0D9N|]%|$LO܀@1VBFߕ_ +B'wDC+ ,-zC4Tu [DVs?pcE3FJNF}S@!m:ZFBZ@`^KIkc.IlJif~#!Is|n:_M^Gg}>\CP%Ok۫G_8jq6~QA8>hfDۀ$sVK [D#FLGFLsGI{4Lk ȓnƛAi߯c +l꺌BC᪤(gQjLF@L(-$@qIĮ+}i%9hLؠrtMNoTZ ~F℥ +5 sPUIĄhMϴOLR4ytxJZB^>}X 5IϞ0YuUBF+\1\_``BkVI.4FLLEShD*:j$5՘Ff}2-{&G( ^$>LOq@I /A&kqA^ +FD[}#BsDX kSWCg$_".B}\PrL(@~_WnVFCNh߸^߀jJGT$XBJL.}%dJL~uV>Ee(Սn +AͬGuAb? yngIj4%MnKGO) lQW|2@J1(yPnLM +SU&+NpPK{Fߎ gM蓌QmcIK5Lw7`񠹑:B30aou0BzoZ0/-gIjIKp}@JȦӱ ИI9VJyQEΖgvQEoDv̓9+?D,&ŜO?.E[rvxp<A ի]ւF>E[oa3d/pJ缝z$RxEoU&&tKN1mGgӮKRBY%#8O=@‡? \6L39@T1k)hCp+nT!N;bSt[2[sB9{y:h&_zLӗ:%A;"`d@@K>Bh ]DH&d,]FW=Ddd`TfL^LK92\QR,B5Rܛ@nJI2:ZI/K%!Iļ_w,ʦL|dX,'5MedJϿ֏œ5xOKÙ^!(XAKIYT4FI#kYRNK<|Sx~IEfzw_ᦀI'S*W@cI!])+Cf3}FӫA]B^17B^.IN7 [/oiE4(YHODJL־zAӲڑri= A8K6 +єU){O¡@\ybwy%ߛ@ѷ5;𵇲QKŲ:ץcf%}$Dؾ)'-lKK(>W?GAI.A)+E66NM kAz|y6ݦ%MW_^!@h5N=x`6n(pDccOrs +R!@'zߐ]Kx.m#BK0|`FE̠HPGڍ+blWMvXD8#(ITm +o:d7C`SeȨGjtF0.mW/-RI5+8yںT]ylAхz}1ۜy"nMZF_:m=FWPwMۚ&E@!OHᳬ{`C~a0N>O};9[h+Hє4 +r7D3F9ڎGk^CbkM;EK(Kf8 +/[AZsﳪIŲ l 9M- +quo + U1HPM z4F.۵)ҷpex(Ivrm^_)r1DFż\W0.@qBȤ +s}|^H7ijNe˵+BAz{dw)t%B#Y6lg@rhљ/Q^JV#vn|f+CDTgգKLLId@ +=N^CGZ:J֓hHs{fK.uA$D33J2OϞ0fAݑҕ;G ]ARq~Je00$CKE}JO3.*TC;JO[;I;MJ$T*{LƊ+%F:aGCӘD<!W(ONj%TkT^mB3yN fJtZJ}Te$FD ըsA\JA!tDڭ8#d7 hJڳOl/Z\(Hr\1 lAA p7^LEE)%\e(6MhP +5N:+BݍyuFN 3_0-v;gvG׃Bw;GŹ{RwI͕l ! &A1zDz6JJi,̂o11]¼H*P4myvǯOߞřJВLMN-h"ָfIgPr}#5@ؗ"|r_v 7+KjQSB;{Cj h`fZQIG!N&D+C/=A%wZj`D2,<ţ:MᥜT~3zuLY *>F=[-.C rIx&bl0@{I0G s3WgmtK{ŭ8Cvѕ1K*!ipL2[T-JT8|mJJ*id U9CmƓE}L `1s8cG:cz[{tE.͊C)(HbWGrjkՙs@Y#5UsiLjfIT>sc`bK2i Eb_D/3zH4ELV͎~(-jPO6@br꺧M Uݼio@Ve@{%FbH,Gibt@#= kRBzi+O}g^j&NÓ_fqJ&DAQ ύ2G`ܞCFkLWL\L[T crm\G}CiO$rL MnIUQaiKޡg/svF~#+*aO +yk0e¥+I".!N"0Fz0of@!Ϡ !4sJBn +"m!Hv1O B~WMĘVo9v` J{I*nɉ N\v$ʥp@]DKu_ \Dg +s:J0n/msoUJMH~K_KW":RHCр}ܒVYIU/ #.MMQ;,ױ#]Eکy?+OAN ±K gn㲆qG8LK֑ͥKc + +Ie,GFgi#ބE^~hヒ!:vBZlFz7=TA'v8@'>2ۈЩB肆[fľP`OL ~k/ gBhh֞a/'Cn)#PL|\&|fhCobY[HM +zx=sK睈D+fAIτ4W#h=FiذPNxwQu@klP}Eϻg5aHo +Dލ)4K2+haڢ&GJ)rg_G[C̱@0tZԉߡ_{KP=,'!ejO3y[Fє=Yz`rzF^Iw?֐Z1N]ތCŁGAU#ݝO0ѫJ?_%JLْ0\Ew1IfYWR7ND=X*@zFŢfjDEܺ~ +jGBrHYǠ;j;fBC4ʒkF[WI^;gLk\=cw ft'`@! #,!WOFűsyE@ifl$8NԶDg¶6gBxx IϳHRL>a]'JB˵!W|9|~HuwF r +;ŕ}!A8 =.%^,+DD7dj>0KrU۱FCkJsZvBW,PAysDەHYoUkMҺ8"\-i|xkJM`0l<{}@ߓt 6#HhPi8pD(@hJ +# ذDF-'4ήX{Gz+ŝjOC"h`D,XwLola KL>\ SLEr[|iA?=ݻ Da_Syr>,_@< +r̨{WJoTRN<٫M*-~G),ĉL_dC^ +RPNdE\w͚:C +'`5k +^I<[489BP#e9ވ}~O_{RkMN{‘>Q\)E݆ + ᘁ4%eF +֫D:]OnOSE-yaE=B{+d MV['.;M9|DI!fP>pL ~~[x}@OѹhZwC"3@i +pDkcF?@+ >uURO{+'%w8EȮ$b;!nyN +g坄Y2D{5DE<}tgEί˓%EPieDa){4o8:LCfʀAHm:SwmyN$$~M) +IRV;^CZ31̑LM1?eD-IDzNVcG4@'CSzE~|ir +3*LLL桤k}Ik-JH8W+Ԙ#ah=BEK=P觎=RD쳹'u3ۥFgH`CBjf%P5@ۚ@ǽ0[Yso^MBFm`EmGZHuexX ++kC*, +Y/B@`V1א8J⺃>H^*l`K.?r)zfK'x4LOKHdgG՞`,xF5I1X7p MGCW +VI\A9(/A ENĤbD-44FTǫ$^qЯNV!]QlS*cFU6I +0gKڸF4I1kEcxoJ Dp0Y4Ft` +~۞#aLK 9 +%SFloHfuD&H9tD*g_@:ށo=,c~D*'+@ܝA +EUJIO&bk>pȩu܄A)[^:N풒Z')"_XKMeei? +K|<|Lk^@gC]`"OIuJ*8M@d3xb^ I&TTwQAcEEK+yh]peH짠'I2Mٝ +dSHbO2UjE0z~wA5{!.AZeLӋĿ:kDH@Eh#3/~E>>\ŀtaD+(殟PEL/ T@ViD1mIHR-%$`UXLi!*"RKw)LBíR S#9 + KGg~r1 Nccږ!(MLC.$%wLEBM,!#CL:DrSoh>EC$fN?[KL=gJS SB{6P9u$'Is~[O0F9\/8N+M!=Uju'?tNˑCBo86O}[ac6M +M|N6x5h E/EW8ă0a*@b{:RMHz)xCxۦFSV?sJ/@J@ GjTxyUMF & 2L\4Ms7Tʆ>TYHΩ3|:;O]VķmGitueN-_xC+ShDglrǹK7(['vCUE=-w kN' gh7LIF^)8)vPG!7.=OA +xnrB{N: +BJfiJl +JYNтO6fZ\I(3#hOMT +֙8M#y!o}\!:K<5Yi3BF4č+KsЕK7`xȎ1 N +nX`H厃KӉ%_}ĹiH2u49,K⊿7<4D/,K-1vKCp2‰cpMRsPL$ObJozIP6<;PDHΗ$yΝ^]KWb+}_#HϜ +T<1H<^"4S4D@:k\OA\O}M(,Ǭ=-I(.ֹH5ɭ;L޿7c<)l0NJVⓗjzx +\A-0T=0KʈFIX|IFN_XؒF1n(3FNE1̿Ab$F{6j/1NJ(e%5ObiCW_2ZXLigs$L8m] Gx0DrKSmcM_KH+6h:GKۺJ4:XPJËY\]p7Gڨ!BMY M`d? JW;@mVN:G!Bsx3NsTqݢ#[Hi`EYS}>U M,b6M +FUY}Pr.K,|b%;{AnBrp.֪L`^PcZ]0Ob5x?<|hF݂\\GcT8=Fn.0oiURM@tNQ*>OtD[+}}Mty?|%etF WrZ]GԖgy)n,gD¾a y͇Ɇ;Gl6vTMf&ә?}lEN:"R1=55jLJAe[C7=H׃B}JndGкy +<7T32ڮIq|m?JG~Dw;G=g ֮L&Y&Dѱt~0FA`fz3)ϲL0G6M`tPGR棏Fr}&e)Na:_ŋP6E|a.2`5 =OM3A&qt*Í +Eg6۳0f@E@MRZ__BGOOǞ@&u@Z@3Z>GfKc\5ML;z@M;/jWݹXfAL~!iDy.D]t~(>KHUB:jAH)`ҐZJOoe__?CYG{SOA,lBWT.Daưi<޺ +eAhZFJpmxG +N%&yvxSJͿ8DKLx33GLJT;w/) 'NB^ B;A/6O5laA]k.mP+:C慬Wg)#A+Os}݆Bt"_Y(Oݚk2us=KjnCޠU½CloO~Vg(bOI4p5x`Iㄽ0K+aSpN[& 0b-IK $6싑F(LG}lbD@ϛ[JqkH7HF}BHΰ$. + {N-n/8f+Fҵg(N@>e$K*84 +B8G:W^ΎfXI*ݸm 2 @Ro@u4sM +T>~E +@fnG +5QY1iJgIx 6>Z?FB7׌ՉFb6.Cw +-A؟[k(, ^N | U`TF C̶ M\!@L˾uq]?E d[-iJW|N?Wҧ\Ckl@ЌiJ|Eǡ\ kHk"ۉFctBJUĕQG)K}GEYeI8ItKޗΧA~(ޚX 6WG\qR>i)FꆉH_3":AЁ +zxdyyO~ryB@C+B =恀 +%K +[N-98ZwN +OZVc>3[~O%rMΨNZ5LX8eqGq0^^QK@*u_à$1.Jh +N*OmiJIӪ3Aԭoz¾^G0ޤ +2هBPG!4YJޫ7A=@:'6Dڻ<..ܬIk)P{_XN}y7D&?DL{. r\JxKa<-;:LWR\J@q{@TL.=?! I1;.ߺDftWќ=gGYPAx?~g-1N~JA=h ;Mqw:.}U%D3TؐXJKEiS)[h9TCkP}6]b@ב߽KL."?LU++5nݜ͞Jըn-u +M`{vVU[5CtfuKajNLۄƇiIA< +.NK:{@ +J#JWЩ8rcHNN&edVN4j3@!.T#&WIғ컳@VJA7/V!F ObdIGJG9V~C@Cǫ c"Q@ݔ?Gd_7OjP!XW"TluF 9B>5 BD .\ +=$&eC~bP \@ל5ĝO (MĕqV(L +JRU +-m*Gl0= 'N0>u-U+H#rgnWL!"@4nj_@57uRedLʵ EPk@O;?#jgKk"eG%弙F7Euiln]+AP7pVI-H29%ph2nND28Oq i@ꌇ e_`x1Lߜg.Q'N}B=LZ7 nK{Tw7|vXSKcI^ܙJͯCb,>i^Oo (rLU +0/= OD-mrYuyA}j<ϫ-+H{R +H@OCnu=(Ri~̚B܅Bg3~H#ވNFk_$b9A,Q`P$E}Gt=؊rJ&kx`EΖ1NWB~FLl@=KU[C +EfAQKݫr8(Ij.\tYA0kT|uOJ Qե2ENrvE(I&: #ƹ(SDEd9Bֽpɕ +LMGTcttyHDֱؘ]/l)D޴kkyF +K jl M7\3̻[rd,I=!g8H|rA/(itI_'.ֿks@_JҴ.;Un}7s;Eˬ޼%`f-J^Bu2+trN6F2]>;bLʙNx'PbASdr6gAkYGC<L,vTi K fՙmk'%XB*d;} W@`6LZDFt^AuV70wǩBOq%hL܀O{NiQ([B' C-fqB䝩}o&|?LJj6W֑Y@͈pͲT.*@ʅ鮋hb +:UO. XO +<70RJ|J;YG-ʹ[pq`@D |[+I{T읎>IKѨ2TBPBa@E_Bt1Xd{C )p!A^7K +S%5*{ A曈5.#BEQNj8U4HQy$RwCM@rTF +uHU/?INGMbɎ +;H Jǀ[ + +NbF8 +|OвFL4&w;lF jICɊADӫ :' gB`;he) E:IdZyM0J"P֔S3=sMQҥ.gI4>`iaG.SQXfFI|KXbxpɆMw$OTv!Oc3n6BOMYmCMWeމE3sL:b>-J +HVf>ťoLLE6q6m'~Nl=}4b_>HV +ØY|#wIy 0")BlؤICOCά(J +C2Z)\U.O rohFKF}DxC*RO^2uZ=UBN2̿ZyM!ͲiZ-X5BL6K,M6EG(rT]G۝}Cb0\9I +X \Tۢ6JUeS@?ECvGfx@/xhi@ 8䥩B\a^qitA,=oDVݕ7IKbʡE˳w;_( ے +@EF8#Ose#q D*jGiӏn`B\Odnr-Bly{l9+vB`<kC@_J6{OvEםL>VDpHz.|0lJMhKꁕIHuJ>EڅEIifד+ZLOγE6 BYw_$O Y3'`G +՗;ZtAX=J4ɟ#ShvAױcN2qzJ.lOi2<YBWԚ O#3j<AnͧxlI +M`!-dCnKw(+Ty_Gם ˀkj餁O,zd/ho3FZվdZ$,3@-$FRXUJޖՊ߁A}EDžY ,HœDEd'G>۪9HKM%t\⃓Bs~Gϯ Ñ +=VhN9LY.-/KppzCʳWᷖ U%J0Dv̯FdﱯŢJd +ʞN,[MQX^ZTBFƭ;{iLԠRG0hK---@JD|a~'BU6C}37]+LY@Tu9!'_˹LAI݇pI|YuIAªIfJQFGK㴖(/϶ Kא8q ;N]7Hxkz/WFa$% iD.TBt]uc>LeB^FY77h}LB'*-r|LGڝ3ֱ_XP]@YcA* +H=(ҮIgCOskAdoC d9*pLH6ӿO^D`K%ߘ(CPzcI)ߕ1w)G`Q,ȑ"BpR|;YqOK f2hB5e0)c2CƬGZ\!儼K* + +oeIGf#V@rR|#:PFةd8a: <0}@c _ӋLDMDBBnf8z jtB1hNEyH{j\.?ڛ6|HC: +-Z!Aݸ=vFKs;>FBk{BݎtDE֣>g7AoJTj6?n'vRC̰^ +5@蟻X,=x.Jew(74G %?kB{l??JnQK*T&7rEX%x +IEe@0fk:$!oMĚ\j/NfBAeicE +1 aU@YB3vNAHY +h_]H*(߽}D}za-V@!R\=[Lwrw8)K.=Aq>+x4zJr羚/B&N3ZyACJ2eNX?;-+Y@ހᓚv윈B>\)I.U%-rNcNB!; +xmk/O׷{ +FٺMB1:Jn:OJt6FITgC'*C֓>"&D{1Šal!@U{BU0n@}YRvAMU6cgHe!.biTW@2ޔ+N9@A_֍YG:JfU{;Kk5B.2Hޤ5AМ~CH,J/G֡IX v|ZQE֯W}sKy9Af/Vx^OB%quxBf IJ2Mf2]~oEG%L5QCP /N-Ƒ\@ +zkcRBʰ`¢VEGk[-OLp :3m|Iy/lD{V2CtW/0݃:GvSCg@>𩛄Nu}-|V@&[yÊ@qa{|GEլ]?j֭MIjDj"!Aɏ<5Ys +Bkb.P)F$J(])睕EB\Hnp摦G?"^Eɿ&oLM{_?v1yD\ޝQ;HDٝ1.JGC+W2ͳFMI[9E~w?@Q%Pq@!sFǤf&1@@88"LLc@@ץECmh_L^[`p6#eOEjxLVN3!rL2aa@Y}&K\:adM `V^nRFڋ0G@"2 'r]BT +Re]jI"ú5x5N!4%u`@g5dܸHQˇ FhK/I苸L֨CɲCN|SGxJI1#J3@2/g7F+vH. +TtGۅ/㎢N`Bbӹ5_ G5vn1_\B3Se@𸌏>jzQgO@.ީhF$-qF6;`*ϏDE=lf6 :ՀK⭍h +7=.G52i7­3, G:^[f` +A5NmvHͶx 4JȝaYj[,@I\{;>[usW Lt՟` Gq+7M%\siDgc6V.+KA6@cf@}FZL_dh.^ +I4EJJGtքLwA^\]'5ydLYږ +C:S+i\@>C`XHA~AQ/ϕwAKuǓ)fķ|dOp8c_\ cN|MI;RZ@Pbei:sEe1nk3fSFB wM ΂w7B/{0DCFܘ3YKLNHyBI4..F6e,Fy9W8^ ٺ O.w J!gJXh0ь`Eɭlv\`F쇃0͇s}*KE$>^eMɕL +&waΉ˞GS@ZA0Ӆ//@9d$`݊wDH3}QDog;e>!F]O ̗4.H^Ml{mds I6lE﷢_BVaf.i(GН2L}KVp,AJG8>s.Lѝ y;uuVYE猪JU%+y@CdEK/!}%m{EC4Wo Vcyl+qL^Tx2::"Bj9XnG(OzW/iN.k<H람ėFA;k7G]G) OMWd3.0$ E:,=bcXndGɶSS+ Nmd1d7I#bm_pֽiDE]"EF蹴b覔Jfp;Ӏ|)>H_OQA¾=Nr^r5hKfs#5nnJNx?F$c:|2Olds-*[CM 0BWUQ]!~(@UV+k$@QH\<|~$ +-F,X/GV4*&Jop25>0JxHIVRXEK*#ʺve8Exڡ 7Ak_-?q6KOMIpGDjGjiLZEF&Aqm&JNjf5Wg֣/ʜLuʥR#uMtiA9ЦOHcF C}C?(4>lb>A;3DֿNE`*ip ɑD$iJHGBjQVOMeA,mK13"('NպXPMptB(Ƈ'VMC; sn +tEj4 +䪖jL{A@,_gEwJ3rn@HfެCWJ/;gZr Ndh.|4HaڻGHǽ +*[A>vZ-NՒ(#r= t'F͡Qܢy-ׂ!Gf-gRqE^Gx&4X;Fω>`Z\A)$kv #K"c"/F}(F)=kRI9HAKx܄X4J>G<Gs&2H$Eӻm\RF\ +NAo 3-_7*K0砓"\]݅CLNRRfN + M9yj[Zg9O8ٳ\-E)A dT,DF\¨F?RP5I<_M?qȀңHT?XVn'jB2މs+{LMٸXG{C}@ +;^f`NFOGΖ\^IUJUCe#=X:A.#G)lڽ`LgảKR\מayPgB z>B@>ɴ^ЍC5<ctM`#FI2!I +vDA91'5U+;{@ MŒ*k@ 6媗ND͕%A=3iVJbqg=WH{s^^FsQ2dEHNjPNˎ +=Ak'?GuZE AtSbnEoJxQҚvDK}F&!8PbexDbcd12 +FKT|u25gMPw`LW49BRK8Ec +Lڪ[xA,(),deE^DnNUΎX Drx8i+/ּAFj:}!fCiU!l~,IO={!+@2XF5JBx[O@wydvE-DnXb7:K!2 A=DBr/Ctvq"NS +]ҢMMd?ܞ@־4PI&k Nqtsr|JߨyVXhCD\,W)AuM.2|l'CNg 3Pɦf{G-D:H@$JpЈ3Fʫ'~A@ ({O2R@Dv[ ˗OI2g _G%rmEZxalzhOKfn|9J("`C_|}>Mm=tX73 +y͔UKؿ-8:&kElaTEޛ L[r%V@bLX(RBZAIbx +}vIfjN%CadBJ";Dpш Lj(JmlU>EΞHۇ|?GV؝WkD@'S970gE𵴗 PvbH>NW>XDzjAK߲l@U'Wt&HKXà6tPDş,&e,xB-,2L}Uޗr+9A9ҝl|_@R&":SF>Bƶ{&@u~r Cd*/A.r;uğVi@(`\ߓxN`@SbIӍTW4ԐCNõKN#:D#"91NJED*ȾOqtws}+I^K0%J~,s_Q@O&n6`܍j4JWA yɁ߅{Lύch6OiC݄UjƦ^M + +1&vI_1ևNVIHIyN8!ĄrKNT7xD[#Bd}Y+=eOȓe\0I.FKFwu&WN 3vظEKin{?Qb@. `&NՉqK0wBeEJv{^/E +ɿP{;K9?\ HB"OhM_NJC̅c[ +ӅI[fAxDH_*EJb޷LNҭ[ň$H}!j|Lҵ׮S3bJ&?MHhrA Ljf;kO?hO˺M/ OtO뗻mXk=C0ږp 'nkEc⅌'k>N]nӗ%aL{6Ƒ-jF \JvnFD!5.~I˜rR_zCN J~^ٖBKmۜŶ qFLW& CGt C Iŝ"ʦy{J'CEnH=DK"ghVDgVyKʖnRiuM^B΂?´K晔W>H5VJʙ 睚$ Kz߭BK1sM=s՜clK5ABjƎB#_i*0H៹o9os}zyJгxQ,ѡmL>M]:DUJVn{G)gř$I4іSӛ\D/w~R[{ +WɗGCiR,$lAG_-y +/B$5\L2.&t'2BE3\CNPgWq^xHױXи@[i;z;kI]qLo8rb +N߼X+^ܨEN"liin"L{΄mfDBk-|?USL*:^\|橛G5GqK'gJ7Ӈ}#9Hc[Ӳ%a%q~CJƱq*YHÒrr%&(NWlt%HC!tݨ5a*N鉉.6rM&8Sf mjCZj q?aPJ儼&^<{ELG.|1.I6;|=W;Ki`3}Fv#uAZ?OrOBv_" +"{'CTSD8mX_J@5? "U6yLكoBP/_-M*3yR =J"Ctux~Fwz0у>s)pIEJ֛̇Lh]!,먶zJNeAjT6JJE֠a0gM GTIg&~E+Ht\R*e3T)>Fπ\!MiU[3Nk+dOO*`J*Et b=ଟVE&(70)N&MtpzrFW{ɟTjyI +BXX^` EDm(0|@T1Ek̔Y54iC>km)O's9h3l(CY,`%ӏArN)ÿ/Y +C.^8fIFA*J6*]@H I,-.dYLGкڪ|Fx~i[[BLP8 >GN^ٚԦUHWU+A"9șj@`w~IoBoSR@ L>vEJm#KYB cƝZ$;O4t-=DK/+P$H浆}^} +9J◁`bXN<{]pswF +۾$xFq:Ũ1 +(s4 O֠v((.wiMC{P7$ԂB TrnWCEm@"(7MNe!¡/T/0 ^F6cHxokF&zh܏|;F;ù +hMN/ +eʬsMGM| +~6 +sBYm5fN"w͒O3})-M-owCL.!ՙnScJǺfB)18фw@ށqwl C,fkB`*slJ&+sN咫:)cM݆ڹ5h +Ne 9Z!qN +/뀁] +EvS=>N3>Hu--J`z?5&MZ[{&QSYbFRjkH@cȱ6"ݑHZc]PBC(>ReMÃ<]hWPMMɆ+.UEٝ7T3oNS5V|LpG8JdS53"DNJ!rNM :|!N=+M?צD̮xdmr@q{{+k=J;ﺡm;EBl۩QAȐCe.,I˿]dPW{NӸHdTa/]o+@/Bu+[UYFĭj8hXرMH+]\ZB&WW8i(nD#wŊ hC>M[$MW@@4wuhLo%B?[/C=@z??Hi`LNc#. E ^|Z7 J,΄bӪK9 +]s + +-'E\辚 gfK֢> 6΋#PgNuN>6Zk8G,6 +`B'[`7UMLTT兴RLt`*v.NCgT"J"4BBnI%-ΫUhPvIFw<N@n,@?hzEi~ܳE(pK<%:30BiL ^ +X8LWRЏd{ E x㔠pI&zIa|KhlB_ɑ2 + z|K\c%Crq0: wKД@A bd9Mdk +]vz{DҒg1۪Ósna +:B9i7 }CH+]hҞ-RIBF}Oǎ;y4VLOn߳F +@=K +ZQHJEudP"&K9į&#r-}NALn]C9 &O%g'k=CZT,RqBo f)o+IPz7gDʩ6L̈́adi98i;Lgꯜ&^AVfo@(}SW?h"Bo!#ALKpLΩXa^c]'2@퇪j3v>lE `߷ȦB8k 7{wElD=B +|KfA65DXE-nW!@BJ_۞PYFE.%< +A7vIH©ODwFK , 00@-iY+eMT0Jo\TF Уbb"KB#381}EGƠBu>ݒGΉ!./"lMjdMHPP*8chRŇN-@xOC-uGёl@LࣔJbx>>1̅iJʯ1ESƀ +NYv}Zk| HO͖>H$IDžj)݉T}GDʍSJ +eQOlj OEl +9O&Nr;W BzKCK#:m@l>0M>Jk{#HL%d +F +1C%`<[vQ/LV eUW +F(J92$~EU:l!MoJ8ϱ_z2@I6Ҟ<%ApT=a +lEHeTIQȲedlGe~@k vFLA;!"!]?g=C:9*2M*3l8wJd%Mt>`OLKT?PK +!__OBJSTORE__/ProjectNavigatorGui/PK +k/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData  +  + +PK +v56__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTblModule|pci_lpc_hostpci_lpc_host/pci_lpc_host/pci_target - pci32tLite - rtl/pci_lpc_host/seven_seg0 - wb_7seg - wb_7seg_behavpci_lpc_host (top_pci_lpc_host.v)/pci_lpc_hostxc3s400-4fg456Design UtilitiesDESUT_UCFUser ConstraintsDESUT_VERILOGGenerate Programming FileImplement DesignSynthesize - XSTSynthesize - XST/Generate Post-Synthesis Simulation ModelDESUT_VHDL_ARCHITECTUREPK +__OBJSTORE__/SrcCtrl/PK +"__OBJSTORE__/SrcCtrl/SavedOptions/PK +__OBJSTORE__/xreport/PK +>5__OBJSTORE__/xreport/Gc_RvReportViewer-Current-ModulePK +s=<__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTblpci_lpc_hostPK +֞1B__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Factory-Defaultj + + !"#$%&'()*+,-./0123456789:;<=>?@ABC*DEF*GHIJK*LMNOPQRSTUVWXYZPK +D I__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Factory-Default_StrTbl[

Tue, 05 Sep 2006 12:00:00 PST Unknown
trunk/examples/pci_lpc/pci_lpc.ise Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/pci_lpc/top_pci_lpc_host.v =================================================================== --- trunk/examples/pci_lpc/top_pci_lpc_host.v (nonexistent) +++ trunk/examples/pci_lpc/top_pci_lpc_host.v (revision 7) @@ -0,0 +1,238 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// $Id: top_pci_lpc_host.v,v 1.1 2008-03-05 05:58:41 hharte Exp $ //// +//// top_pci_lpc_host.v - Top Level for PCI to LPC Host //// +//// for the Enterpoint Raggedstone1 PCI Card. Based on the //// +//// OpenCores raggedstone project, and uses the OpenCores //// +//// pci32tlite core. //// +//// //// +//// This file is part of the Wishbone LPC Bridge project //// +//// http://www.opencores.org/projects/wb_lpc/ //// +//// //// +//// Author: //// +//// - Howard M. Harte (hharte@opencores.org) //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Howard M. Harte //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +module pci_lpc_host +( + CLK, + RST, // Active Low + INTA, + REQ, + GNT, + FRAME, + IRDY, + IDSEL, + DEVSEL, + TRDY, + STOP, + PAR, + PERR, + SERR, + PCI_AD, + CBE0, + CBE1, + CBE2, + CBE3, + + DISP_SEL, + DISP_LED, + + LPC_CLK, + LFRAME, + LAD, + LAD_OE, + LPC_INT, + + PREVENT_STRIPPING_OF_UNUSED_INPUTS +); + +input CLK ; +input RST ; + +inout [31:0] PCI_AD ; + +input CBE0, + CBE1, + CBE2, + CBE3 ; + +output PAR ; +input FRAME ; +input IRDY ; +output TRDY ; +output DEVSEL ; +inout STOP ; +input IDSEL ; +inout PERR ; +inout SERR ; +output INTA ; +//attribute s: string; -- SAVE NET FLAG +input REQ ; // attribute s of PCI_nREQ: signal is "yes"; +input GNT ; // attribute s of PCI_nGNT: signal is "yes"; +output [3:0] DISP_SEL ; +output [6:0] DISP_LED ; + +output LPC_CLK; +output LFRAME; +inout [3:0] LAD; +input LPC_INT; +output LAD_OE; + +output PREVENT_STRIPPING_OF_UNUSED_INPUTS ; + +assign PREVENT_STRIPPING_OF_UNUSED_INPUTS = REQ & GNT; + +wire [2:0] dma_chan_i = 3'b000; +wire dma_tc_i = 1'b0; +wire lframe_o; +wire [3:0] lad_i; +wire [3:0] lad_o; +wire host_lad_oe; + +assign LAD = (host_lad_oe ? lad_o : 4'bzzzz); +assign LAD_OE = host_lad_oe; +assign LPC_CLK = CLK; +assign LFRAME = ~lframe_o; + +wire [3:0] CBE_in = +{ + CBE3, + CBE2, + CBE1, + CBE0 +} ; + +wire PCI_CLK = CLK; + +wire [24:0] wb_adr_o; +wire [31:0] wb_dat_i; +wire [31:0] wb_dat_o; +wire [3:0] wb_sel_o; +wire [1:0] wb_tga; +wire wb_we_o; +wire wb_stb_o; +wire wb_cyc_o; +wire wb_ack_i; +wire wb_rty_i = 1'b0; +wire wb_err_i = 1'b0; +wire wb_int_i; + +//assign wb_tga = wb_adr_o[17:16]; // I/O Cycle +assign wb_tga = 2'b10; // Firmware cycle + +assign wb_int_i = ~LPC_INT; + +// Instantiate the pci32tlite module +pci32tLite #( +// .vendorID(16'h10ee), +// .deviceID(16'hf00d), +// .revisionID(8'h01), + .vendorID(16'h14e4), + .deviceID(16'h43f5), + .revisionID(8'h0a), + .subsystemID(16'h0), + .subsystemvID(16'h0), + .BARS("1BARMEM"), + .WBSIZE(32), + .WBENDIAN("LITTLE")) +pci_target ( + .clk33(PCI_CLK), + .rst(~RST), + .ad(PCI_AD), + .cbe(CBE_in), + .par(PAR), + .frame(FRAME), + .irdy(IRDY), + .trdy(TRDY), + .devsel(DEVSEL), + .stop(STOP), + .idsel(IDSEL), + .perr(PERR), + .serr(SERR), + .intb(INTA), + .wb_adr_o(wb_adr_o), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_sel_o(wb_sel_o), + .wb_we_o(wb_we_o), + .wb_stb_o(wb_stb_o), + .wb_cyc_o(wb_cyc_o), + .wb_ack_i(wb_ack_i), + .wb_rty_i(wb_rty_i), + .wb_err_i(wb_err_i), + .wb_int_i(wb_int_i) + ); + +wb_lpc_host lpc_host ( + .clk_i(CLK), + .nrst_i(RST), + .wbs_adr_i(wb_adr_o), + .wbs_dat_o(wb_dat_i), + .wbs_dat_i(wb_dat_o), + .wbs_sel_i(wb_sel_o), + .wbs_tga_i(wb_tga), + .wbs_we_i(wb_we_o), + .wbs_stb_i(wb_stb_o), + .wbs_cyc_i(wb_cyc_o), + .wbs_ack_o(wb_ack_i), + .dma_chan_i(dma_chan_i), + .dma_tc_i(dma_tc_i), + .lframe_o(lframe_o), + .lad_i(LAD), + .lad_o(lad_o), + .lad_oe(host_lad_oe) + ); + +// The 7-segment display is write-only from the PCI interface. +// Use some dummy nets for inputs that are ignored. +wire [31:0] wb2_dat_i; +wire wb2_ack_i; +wire wb2_err_i; +wire wb2_int_i; + +// Instantiate the 7-Segment module on the host +wb_7seg seven_seg0 ( + .clk_i(CLK), + .nrst_i(RST), + .wb_adr_i(wb_adr_o), + .wb_dat_o(wb2_dat_i), + .wb_dat_i(wb_dat_o), + .wb_sel_i(wb_sel_o), + .wb_we_i(wb_we_o), + .wb_stb_i(wb_stb_o), + .wb_cyc_i(wb_cyc_o), + .wb_ack_o(wb2_ack_i), + .wb_err_o(wb2_err_i), + .wb_int_o(wb2_int_i), + .DISP_SEL(DISP_SEL), + .DISP_LED(DISP_LED) + ); + +endmodule
trunk/examples/pci_lpc/top_pci_lpc_host.v Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/examples/pci_lpc =================================================================== --- trunk/examples/pci_lpc (nonexistent) +++ trunk/examples/pci_lpc (revision 7)
trunk/examples/pci_lpc Property changes : Added: svn:ignore ## -0,0 +1,42 ## +pci_lpc_host_map.map +pci_lpc_host.twr +pci_lpc_host.syr +pci_lpc_host_summary.xml +pci_lpc_host.xst +pci_lpc_host_map.ngm +pci_lpc_host_pad.csv +pci_lpc_host_guide.ncd +pci_lpc_host.twx +pci_lpc_host.unroutes +pci_lpc_host_usage.xml +pci_lpc_host.prj +pci_lpc_host.xpi +pci_lpc_host.pad +pci_lpc_host.ncd +pci_lpc.cel +pci_lpc_host.bgn +pci_lpc_host.ngc +pci_lpc_host.pcf +pci_lpc_host.ngd +pci_lpc.ntrc_log +_ngo +pci_lpc_host.stx +pci_lpc_host_summary.html +pci_lpc_host.par +pci_lpc_host.ngr +pci_lpc.restore +pci_lpc_host.ut +pci_lpc_host_map.mrp +_xmsgs +pci_lpc_host.lso +pci_lpc_host.bld +pci_lpc_host_map.ncd +pci_lpc_host_pad.txt +pci_lpc_host_prev_built.ngd +pci_lpc_host.cmd_log +__ISE_repository_pci_lpc.ise_.lock +pci_lpc.ise_ISE_Backup +pci_lpc_host.drc +pci_lpc_host_vhdl.prj +xst +.svn Index: trunk/examples/README.TXT =================================================================== --- trunk/examples/README.TXT (nonexistent) +++ trunk/examples/README.TXT (revision 7) @@ -0,0 +1,56 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// $Id: README.TXT,v 1.1 2008-03-05 05:58:38 hharte Exp $ +//// //// +//// This file is part of the Wishbone LPC Bridge project //// +//// http://www.opencores.org/projects/wb_lpc/ //// +//// //// +//// Author: //// +//// - Howard M. Harte (hharte@opencores.org) //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2008 Howard M. Harte //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// + +Wishbone LPC Bridge Samples: + +1. pci_lpc/ PCI to LPC Host Controller using the Enterpoint Raggedstone1 FPGA card. +2. lpc_7seg/ LPC 7-Segment Display Peripheral using the Raggedston1 FPGA card. + +To use these example, you will need two Raggedstone1 boards. The first board is the PCI to LPC host, and the second board is the LPC device. The two Raggedstone1 cards are connected together by making a short ribbon cable using 16-pin DIP IDC connectors. The topmost pins of JR1/JR2 is where this cable plugs in. + +The LPC bus is pinned out as follows, on JR2: + +W1 LPC_CLK +W2 LFRAME# +V5 LAD<0> +U5 LAD<1> +V2 LAD<2> +V1 LAD<3> +U4 +T4 LPC_INT (active low, not used by 7-segment peripheral, pulled up in host) + +If you only have one Raggedstone1 PCI card, these designs could be combined and run on a single card. Index: trunk/examples =================================================================== --- trunk/examples (nonexistent) +++ trunk/examples (revision 7)
trunk/examples Property changes : Added: svn:ignore ## -0,0 +1 ## +.svn

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.