OpenCores
URL https://opencores.org/ocsvn/yavga/yavga/trunk

Subversion Repositories yavga

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /yavga/tags
    from Rev 14 to Rev 15
    Reverse comparison

Rev 14 → Rev 15

yavga-0.8.2/trunk/charmaps/convert.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: yavga-0.8.2/trunk/charmaps/charmaps_ROM.vhd =================================================================== --- yavga-0.8.2/trunk/charmaps/charmaps_ROM.vhd (revision 14) +++ yavga-0.8.2/trunk/charmaps/charmaps_ROM.vhd (nonexistent) @@ -1,124 +0,0 @@ --------------------------------------------------------------------------------- ----- ---- ----- This file is part of the yaVGA project ---- ----- http://www.opencores.org/?do=project&who=yavga ---- ----- ---- ----- Description ---- ----- Implementation of yaVGA IP core ---- ----- ---- ----- To Do: ---- ----- ---- ----- ---- ----- Author(s): ---- ----- Sandro Amato, sdroamt@netscape.net ---- ----- ---- --------------------------------------------------------------------------------- ----- ---- ----- Copyright (c) 2009, Sandro Amato ---- ----- All rights reserved. ---- ----- ---- ----- Redistribution and use in source and binary forms, with or without ---- ----- modification, are permitted provided that the following conditions ---- ----- are met: ---- ----- ---- ----- * Redistributions of source code must retain the above ---- ----- copyright notice, this list of conditions and the ---- ----- following disclaimer. ---- ----- * Redistributions in binary form must reproduce the above ---- ----- copyright notice, this list of conditions and the ---- ----- following disclaimer in the documentation and/or other ---- ----- materials provided with the distribution. ---- ----- * Neither the name of SANDRO AMATO nor the names of its ---- ----- contributors may be used to endorse or promote products ---- ----- derived from this software without specific prior written ---- ----- permission. ---- ----- ---- ----- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS ---- ----- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT ---- ----- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ----- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE ---- ----- COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ----- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, ---- ----- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; ---- ----- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER ---- ----- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT ---- ----- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ---- ----- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ----- POSSIBILITY OF SUCH DAMAGE. ---- --------------------------------------------------------------------------------- - - -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use IEEE.STD_LOGIC_ARITH.all; -use IEEE.STD_LOGIC_UNSIGNED.all; - --- Uncomment the following lines to use the declarations that are --- provided for instantiating Xilinx primitive components. -library UNISIM; -use UNISIM.VComponents.all; - -entity charmaps_ROM is - port ( - -- i_DI : in std_logic_vector(7 downto 0); -- 8-bit Data Input - -- i_DIP : in std_logic; -- 1-bit parity Input - -- i_EN : in std_logic; -- RAM Enable Input - -- i_WE : in std_logic; -- Write Enable Input - -- i_SSR : in std_logic; -- Synchronous Set/Reset Input - i_clock : in std_logic; -- Clock - i_ADDR : in std_logic_vector(10 downto 0); -- 11-bit Address Input - o_DO : out std_logic_vector(7 downto 0) -- 8-bit Data Output - -- o_DOP : out std_logic -- 1-bit parity Output - ); -end charmaps_ROM; - -architecture rtl of charmaps_ROM is - -begin - -- charmaps - -- |------| |-----------------| - -- | P | | D D D D D D D D | - -- |======| |=================| - -- | 8 | | 7 6 5 4 3 2 1 0 | - -- |======| |=================| - -- | Free | | Row char pixels | - -- |------| |-----------------| - - Inst_charmaps_rom : RAMB16_S9 - generic map ( - write_mode => "NO_CHANGE", -- WRITE_FIRST, READ_FIRST or NO_CHANGE - INIT => B"000000000", -- Value of output RAM registers at startup - SRVAL => B"000000000", -- Ouput value upon SSR assertion - -- - -- START REPLACE HERE THE OUTPUT FROM convert.sh - -- INIT_00 => ... - -- ... - -- ... - -- ... - -- INIT_3F => ... - -- STOP REPLACE - -- - -- - INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", -- free - INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000" - ) - port map( - DI => (others => '1'), -- 8-bit Data Input - DIP => (others => '1'), -- 1-bit parity Input - EN => '1', -- RAM Enable Input - WE => '0', -- Write Enable Input - SSR => '0', -- Synchronous Set/Reset Input - CLK => i_clock, -- Clock - ADDR => i_ADDR, -- 11-bit Address Input - DO => o_DO, -- 8-bit Data Output - DOP => open -- 1-bit parity Output - ); - - -end rtl; Index: yavga-0.8.2/trunk/charmaps/README.txt =================================================================== --- yavga-0.8.2/trunk/charmaps/README.txt (revision 14) +++ yavga-0.8.2/trunk/charmaps/README.txt (nonexistent) @@ -1,17 +0,0 @@ -######################################################## -#### This file is part of the yaVGA project #### -#### http://www.opencores.org/?do=project&who=yavga #### -######################################################## - -FIles: - -charmaps_ROM.vhd - chdl chunk to be completed with the output of convert.sh - -chars.map - the char maps (- = pixel off ; @ = pixel on) - -convert.sh - this file read the chars.map and write to to the standard output - a vhdl chunk to insert in the charmaps_ROM.vhd - Index: yavga-0.8.2/trunk/charmaps/chars.map =================================================================== --- yavga-0.8.2/trunk/charmaps/chars.map (revision 14) +++ yavga-0.8.2/trunk/charmaps/chars.map (nonexistent) @@ -1,2353 +0,0 @@ -################################################################################ -#### #### -#### This file is part of the yaVGA project #### -#### http://www.opencores.org/?do=project&who=yavga #### -#### #### -#### Description #### -#### Implementation of yaVGA IP core #### -#### #### -#### To Do: #### -#### #### -#### #### -#### Author(s): #### -#### Sandro Amato, sdroamt@netscape.net #### -#### #### -################################################################################ -#### #### -#### Copyright (c) 2009, Sandro Amato #### -#### All rights reserved. #### -#### #### -#### Redistribution and use in source and binary forms, with or without #### -#### modification, are permitted provided that the following conditions #### -#### are met: #### -#### #### -#### * Redistributions of source code must retain the above #### -#### copyright notice, this list of conditions and the #### -#### following disclaimer. #### -#### * Redistributions in binary form must reproduce the above #### -#### copyright notice, this list of conditions and the #### -#### following disclaimer in the documentation and/or other #### -#### materials provided with the distribution. #### -#### * Neither the name of SANDRO AMATO nor the names of its #### -#### contributors may be used to endorse or promote products #### -#### derived from this software without specific prior written #### -#### permission. #### -#### #### -#### THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS #### -#### "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT #### -#### LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS #### -#### FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE #### -#### COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, #### -#### INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, #### -#### BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; #### -#### LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER #### -#### CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT #### -#### LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN #### -#### ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE #### -#### POSSIBILITY OF SUCH DAMAGE. #### -################################################################################ -# -# -# qqq --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# qqq --------- --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- --------- -# -# qqq --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- -@@@@@@@@ --------- --------- --------- --------- -# -# qqq --------- --------- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- ---@--@-- --------- --------- -# -# qqq --------- --------- --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --@--@--@ --------- --------- -# -# qqq --------- --------- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- --------- --------- -# -# qqq --------- --------- --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --@-@-@-@ --------- --------- -# -# qqq --------- --------- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@-@-@-@- --------- --------- -# -# qqq --------- --------- --------- -@@@@@@@@ --------- -@@@@@@@@ --------- -@@@@@@@@ --------- -@@@@@@@@ --------- -@@@@@@@@ --------- -@@@@@@@@ --------- --------- -# -# qqq --------- --------- -@@@@@@-- -@@@@--@@ -@@@@@@-- -@@@@--@@ -@@@@@@-- -@@@@--@@ -@@@@@@-- -@@@@--@@ -@@@@@@-- -@@@@--@@ -@@@@@@-- -@@@@--@@ --------- --------- -# -# qqq --------- --------- ---@@@@@@ -@@--@@@@ ---@@@@@@ -@@--@@@@ ---@@@@@@ -@@--@@@@ ---@@@@@@ -@@--@@@@ ---@@@@@@ -@@--@@@@ ---@@@@@@ -@@--@@@@ --------- --------- -# -# qqq --------- --------- -------@@ -----@@-- -------@@ -----@@-- -------@@ -----@@-- -------@@ -----@@-- -------@@ -----@@-- -------@@ -----@@-- --------- --------- -# -# qqq --------- --------- -@@------ ---@@---- -@@------ ---@@---- -@@------ ---@@---- -@@------ ---@@---- -@@------ ---@@---- -@@------ ---@@---- --------- --------- -# -# qqq --------- --------- --------- --@@--@@- --@@--@@- --@@--@@- --@@--@@- --------- --------- --@@--@@- --@@--@@- --@@--@@- --@@--@@- --------- --------- --------- -# -# qqq --------- --------- -@@@@@@@@ -@--@@--@ -@--@@--@ -@--@@--@ -@--@@--@ -@@@@@@@@ -@@@@@@@@ -@--@@--@ -@--@@--@ -@--@@--@ -@--@@--@ -@@@@@@@@ --------- --------- -# -# qqq --------- --------- -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ --------- --------- -# -# qqq --------- --------- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- --------- --------- -# -# qqq --------- --------- -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ --------- --------- --------- --------- --------- --------- --------- --------- -# -# qqq --------- --------- --------- --------- --------- --------- --------- --------- -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ --------- --------- -# -# qqq --------- --------- -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ -----@@@@ --------- --------- -# -# qqq --------- --------- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- -@@@@---- --------- --------- -# -# qqq --------- --------- --------- --@@@@@@- --@----@- --@----@- --@----@- --@----@- --@----@- --@----@- --@----@- --@----@- --@@@@@@- --------- --------- --------- -# -# qqq --------- --------- -@@@@@@@@ -@------@ -@------@ -@------@ -@------@ -@------@ -@------@ -@------@ -@------@ -@------@ -@------@ -@@@@@@@@ --------- --------- -# -# qqq --------- --------- --@--@--@ ---@--@-- --@--@--@ ---@--@-- --@--@--@ ---@--@-- --@--@--@ ---@--@-- --@--@--@ ---@--@-- --@--@--@ ---@--@-- --------- --------- -# -# qqq --------- --------- -@--@--@- ---@--@-- -@--@--@- ---@--@-- -@--@--@- ---@--@-- -@--@--@- ---@--@-- -@--@--@- ---@--@-- -@--@--@- ---@--@-- --------- --------- -# -# qqq --------- --------- -@--@--@- --@--@--@ -@--@--@- --@--@--@ -@--@--@- --@--@--@ -@--@--@- --@--@--@ -@--@--@- --@--@--@ -@--@--@- --@--@--@ --------- --------- -# -# qqq --------- --------- -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ --------- --------- -# -# qqq --------- --------- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --@-@-@-@ -@-@-@-@- --------- --------- -# -# qqq --------- --------- -@-@@-@@- -@@-@@-@@ -@-@@-@@- -@@-@@-@@ -@-@@-@@- -@@-@@-@@ -@-@@-@@- -@@-@@-@@ -@-@@-@@- -@@-@@-@@ -@-@@-@@- -@@-@@-@@ --------- --------- -# -# qqq --------- --------- --@@-@@-@ -@@-@@-@@ --@@-@@-@ -@@-@@-@@ --@@-@@-@ -@@-@@-@@ --@@-@@-@ -@@-@@-@@ --@@-@@-@ -@@-@@-@@ --@@-@@-@ -@@-@@-@@ --------- --------- -# -# qqq --------- --------- --@@-@@-@ -@-@@-@@- --@@-@@-@ -@-@@-@@- --@@-@@-@ -@-@@-@@- --@@-@@-@ -@-@@-@@- --@@-@@-@ -@-@@-@@- --@@-@@-@ -@-@@-@@- --------- --------- -# -# qqq --------- --------- -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ -@@@@@@@@ --------- --------- -# -# blank_map= 000000000000000000000000 --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# !_map= 101010101010101000001000 --------- --------- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- --------- --------- ----@---- --------- --------- --------- -# -# "_map= 444444444400000000000000 --------- --------- --@---@-- --@---@-- --@---@-- --@---@-- --@---@-- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# #_map= 4444FE4444444444FE444400 --------- --------- --@---@-- --@---@-- -@@@@@@@- --@---@-- --@---@-- --@---@-- --@---@-- --@---@-- -@@@@@@@- --@---@-- --@---@-- --------- --------- --------- -# -# $_map= 7C929090907C121212927C00 --------- --------- --@@@@@-- -@--@--@- -@--@---- -@--@---- -@--@---- --@@@@@-- ----@--@- ----@--@- ----@--@- -@--@--@- --@@@@@-- --------- --------- --------- -# -# %_map= 609092640810204C92120C00 --------- --------- --@@----- -@--@---- -@--@--@- --@@--@-- -----@--- ----@---- ---@----- --@--@@-- -@--@--@- ----@--@- -----@@-- --------- --------- --------- -# -# &_map= 304888889070508A84847A00 --------- --------- ---@@---- --@--@--- -@---@--- -@---@--- -@--@---- --@@@---- --@-@---- -@---@-@- -@----@-- -@----@-- --@@@@-@- --------- --------- --------- -# -# '_map= 101010101000000000000000 --------- --------- ----@---- ----@---- ----@---- ----@---- ----@---- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# (_map= 102020404040404020201000 --------- --------- ----@---- ---@----- ---@----- --@------ --@------ --@------ --@------ --@------ ---@----- ---@----- ----@---- --------- --------- --------- -# -# )_map= 100808040404040408081000 --------- --------- ----@---- -----@--- -----@--- ------@-- ------@-- ------@-- ------@-- ------@-- -----@--- -----@--- ----@---- --------- --------- --------- -# -# *_map= 9292545438FE385454929200 --------- --------- -@--@--@- -@--@--@- --@-@-@-- --@-@-@-- ---@@@--- -@@@@@@@- ---@@@--- --@-@-@-- --@-@-@-- -@--@--@- -@--@--@- --------- --------- --------- -# -# +_map= 0010101010FE101010100000 --------- --------- --------- ----@---- ----@---- ----@---- ----@---- -@@@@@@@- ----@---- ----@---- ----@---- ----@---- --------- --------- --------- --------- -# -# ,_map= 000000000000000808102000 --------- --------- --------- --------- --------- --------- --------- --------- --------- -----@--- -----@--- ----@---- ---@----- --------- --------- --------- -# -# -_map= 0000000000FE000000000000 --------- --------- --------- --------- --------- --------- --------- -@@@@@@@- --------- --------- --------- --------- --------- --------- --------- --------- -# -# ._map= 000000000000001818000000 --------- --------- --------- --------- --------- --------- --------- --------- --------- ----@@--- ----@@--- --------- --------- --------- --------- --------- -# -# /_map= 000002040810204080000000 --------- --------- --------- --------- -------@- ------@-- -----@--- ----@---- ---@----- --@------ -@------- --------- --------- --------- --------- --------- -# -# 0_map= 384482828A92A28282443800 --------- --------- ---@@@--- --@---@-- -@-----@- -@-----@- -@---@-@- -@--@--@- -@-@---@- -@-----@- -@-----@- --@---@-- ---@@@--- --------- --------- --------- -# -# 1_map= 103050101010101010103800 --------- --------- ----@---- ---@@---- --@-@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ---@@@--- --------- --------- --------- -# -# 2_map= 7C820202027C80808080FE00 --------- --------- --@@@@@-- -@-----@- -------@- -------@- -------@- --@@@@@-- -@------- -@------- -@------- -@------- -@@@@@@@- --------- --------- --------- -# -# 3_map= 7C820202027C020202827C00 --------- --------- --@@@@@-- -@-----@- -------@- -------@- -------@- --@@@@@-- -------@- -------@- -------@- -@-----@- --@@@@@-- --------- --------- --------- -# -# 4_map= 081828488888FE0808081C00 --------- --------- -----@--- ----@@--- ---@-@--- --@--@--- -@---@--- -@---@--- -@@@@@@@- -----@--- -----@--- -----@--- ----@@@-- --------- --------- --------- -# -# 5_map= FE808080807C020202827C00 --------- --------- -@@@@@@@- -@------- -@------- -@------- -@------- --@@@@@-- -------@- -------@- -------@- -@-----@- --@@@@@-- --------- --------- --------- -# -# 6_map= 7E808080807C828282827C00 --------- --------- --@@@@@@- -@------- -@------- -@------- -@------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- --------- --------- --------- -# -# 7_map= FE0202040810101010103800 --------- --------- -@@@@@@@- -------@- -------@- ------@-- -----@--- ----@---- ----@---- ----@---- ----@---- ----@---- ---@@@--- --------- --------- --------- -# -# 8_map= 7C828282827C828282827C00 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- --------- --------- --------- -# -# 9_map= 7C828282827C02020202FC00 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- -------@- -------@- -------@- -------@- -@@@@@@-- --------- --------- --------- -# -# :_map= 000018180000001818000000 --------- --------- --------- --------- ----@@--- ----@@--- --------- --------- --------- ----@@--- ----@@--- --------- --------- --------- --------- --------- -# -# ;_map= 000018180000000808102000 --------- --------- --------- --------- ----@@--- ----@@--- --------- --------- --------- -----@--- -----@--- ----@---- ---@----- --------- --------- --------- -# -# <_map= 0000020C30C0300C02000000 --------- --------- --------- --------- -------@- -----@@-- ---@@---- -@@------ ---@@---- -----@@-- -------@- --------- --------- --------- --------- --------- -# -# =_map= 0000FE0000000000FE000000 --------- --------- --------- --------- -@@@@@@@- --------- --------- --------- --------- --------- -@@@@@@@- --------- --------- --------- --------- --------- -# -# >_map= 000080601806186080000000 --------- --------- --------- --------- -@------- --@@----- ----@@--- ------@@- ----@@--- --@@----- -@------- --------- --------- --------- --------- --------- -# -# ?_map= 384482820204081010001000 --------- --------- ---@@@--- --@---@-- -@-----@- -@-----@- -------@- ------@-- -----@--- ----@---- ----@---- --------- ----@---- --------- --------- --------- -# -# @_map= 384482829EA2A29E80423C00 --------- --------- ---@@@--- --@---@-- -@-----@- -@-----@- -@--@@@@- -@-@---@- -@-@---@- -@--@@@@- -@------- --@----@- ---@@@@-- --------- --------- --------- -# -# A_map= 10282828447C444482828200 --------- --------- ----@---- ---@-@--- ---@-@--- ---@-@--- --@---@-- --@@@@@-- --@---@-- --@---@-- -@-----@- -@-----@- -@-----@- --------- --------- --------- -# -# B_map= FC82828284F884828282FC00 --------- --------- -@@@@@@-- -@-----@- -@-----@- -@-----@- -@----@-- -@@@@@--- -@----@-- -@-----@- -@-----@- -@-----@- -@@@@@@-- --------- --------- --------- -# -# C_map= 7C8280808080808080827C00 --------- --------- --@@@@@-- -@-----@- -@------- -@------- -@------- -@------- -@------- -@------- -@------- -@-----@- --@@@@@-- --------- --------- --------- -# -# D_map= F0888484828282828484F800 --------- --------- -@@@@---- -@---@--- -@----@-- -@----@-- -@-----@- -@-----@- -@-----@- -@-----@- -@----@-- -@----@-- -@@@@@--- --------- --------- --------- -# -# E_map= FE80808080FC80808080FE00 --------- --------- -@@@@@@@- -@------- -@------- -@------- -@------- -@@@@@@-- -@------- -@------- -@------- -@------- -@@@@@@@- --------- --------- --------- -# -# F_map= FE80808080FC808080808000 --------- --------- -@@@@@@@- -@------- -@------- -@------- -@------- -@@@@@@-- -@------- -@------- -@------- -@------- -@------- --------- --------- --------- -# -# G_map= 7C828080809E828282827C00 --------- --------- --@@@@@-- -@-----@- -@------- -@------- -@------- -@--@@@@- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- --------- --------- --------- -# -# H_map= 82828282827C828282828200 --------- --------- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --------- --------- --------- -# -# I_map= 381010101010101010103800 --------- --------- ---@@@--- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ---@@@--- --------- --------- --------- -# -# J_map= 1C0808080808080888887000 --------- --------- ----@@@-- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- -@---@--- -@---@--- --@@@---- --------- --------- --------- -# -# K_map= 8282838388F0888484828200 --------- --------- -@-----@- -@-----@- -@----@-- -@----@-- -@---@--- -@@@@---- -@---@--- -@----@-- -@----@-- -@-----@- -@-----@- --------- --------- --------- -# -# L_map= 80808080808080808080FE00 --------- --------- -@------- -@------- -@------- -@------- -@------- -@------- -@------- -@------- -@------- -@------- -@@@@@@@- --------- --------- --------- -# -# M_map= 82C6AAAAAA92928282828200 --------- --------- -@-----@- -@@---@@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@--@--@- -@--@--@- -@-----@- -@-----@- -@-----@- -@-----@- --------- --------- --------- -# -# N_map= 82C2A2A2A2928A8A8A868200 --------- --------- -@-----@- -@@----@- -@-@---@- -@-@---@- -@-@---@- -@--@--@- -@---@-@- -@---@-@- -@---@-@- -@----@@- -@-----@- --------- --------- --------- -# -# O_map= 7C8282828282828282827C00 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- --------- --------- --------- -# -# P_map= 7C82828282FC808080808000 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- -@@@@@@-- -@------- -@------- -@------- -@------- -@------- --------- --------- --------- -# -# Q_map= 7C828282828282B28A847A00 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-@@--@- -@---@-@- -@----@-- --@@@@-@- --------- --------- --------- -# -# R_map= 7C82828282FCA09088848200 --------- --------- --@@@@@-- -@-----@- -@-----@- -@-----@- -@-----@- -@@@@@@-- -@-@----- -@--@---- -@---@--- -@----@-- -@-----@- --------- --------- --------- -# -# S_map= 7C828080807C020202827C00 --------- --------- --@@@@@-- -@-----@- -@------- -@------- -@------- --@@@@@-- -------@- -------@- -------@- -@-----@- --@@@@@-- --------- --------- --------- -# -# T_map= FE9210101010101010101000 --------- --------- -@@@@@@@- -@--@--@- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- --------- --------- --------- -# -# U_map= 828282828282828282827C00 --------- --------- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --@@@@@-- --------- --------- --------- -# -# V_map= 828282444444282828191900 --------- --------- -@-----@- -@-----@- -@-----@- --@---@-- --@---@-- --@---@-- ---@-@--- ---@-@--- ---@-@--- ----@---- ----@---- --------- --------- --------- -# -# W_map= 828282829292AAAAAAC68200 --------- --------- -@-----@- -@-----@- -@-----@- -@-----@- -@--@--@- -@--@--@- -@-@-@-@- -@-@-@-@- -@-@-@-@- -@@---@@- -@-----@- --------- --------- --------- -# -# X_map= 828244442838284444828200 --------- --------- -@-----@- -@-----@- --@---@-- --@---@-- ---@-@--- ---@@@--- ---@-@--- --@---@-- --@---@-- -@-----@- -@-----@- --------- --------- --------- -# -# Y_map= 828244442828101010101000 --------- --------- -@-----@- -@-----@- --@---@-- --@---@-- ---@-@--- ---@-@--- ----@---- ----@---- ----@---- ----@---- ----@---- --------- --------- --------- -# -# Z_map= FE820404083820404082FE00 --------- --------- -@@@@@@@- -@-----@- ------@-- ------@-- -----@--- ---@@@--- ---@----- --@------ --@------ -@-----@- -@@@@@@@- --------- --------- --------- -# -# [_map= 382020202020202020203800 --------- --------- ---@@@--- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@@@--- --------- --------- --------- -# -# _map= 000080402010080402000000 --------- --------- --------- --------- -@------- --@------ ---@----- ----@---- -----@--- ------@-- -------@- --------- --------- --------- --------- --------- -# -# ]_map= 380808080808080808083800 --------- --------- ---@@@--- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- -----@--- ---@@@--- --------- --------- --------- -# -# ^_map= 001028448200000000000000 --------- --------- --------- ----@---- ---@-@--- --@---@-- -@-----@- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# __map= 00000000000000000000FE00 --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- -@@@@@@@- --------- --------- --------- -# -# `_map= 202010100800000000000000 --------- --------- ---@----- ---@----- ----@---- ----@---- -----@--- --------- --------- --------- --------- --------- --------- --------- --------- --------- -# -# a_map= 000000003AC6828282C63A00 --------- --------- --------- --------- --------- --------- ---@@@-@- -@@---@@- -@-----@- -@-----@- -@-----@- -@@---@@- ---@@@-@- --------- --------- --------- -# -# b_map= 80808080B8C6828282C6B800 --------- --------- -@------- -@------- -@------- -@------- -@-@@@--- -@@---@@- -@-----@- -@-----@- -@-----@- -@@---@@- -@-@@@--- --------- --------- --------- -# -# c_map= 000000003CC2808080C23C00 --------- --------- --------- --------- --------- --------- ---@@@@-- -@@----@- -@------- -@------- -@------- -@@----@- ---@@@@-- --------- --------- --------- -# -# d_map= 020202023AC6828282C63A00 --------- --------- -------@- -------@- -------@- -------@- ---@@@-@- -@@---@@- -@-----@- -@-----@- -@-----@- -@@---@@- ---@@@-@- --------- --------- --------- -# -# e_map= 0000000038C682FC80C63800 --------- --------- --------- --------- --------- --------- ---@@@--- -@@---@@- -@-----@- -@@@@@@-- -@------- -@@---@@- ---@@@--- --------- --------- --------- -# -# f_map= 3C428080F880808080808000 --------- --------- ---@@@@-- --@----@- -@------- -@------- -@@@@@--- -@------- -@------- -@------- -@------- -@------- -@------- --------- --------- --------- -# -# g_map= 0000000038C6827E02C63800 --------- --------- --------- --------- --------- --------- ---@@@--- -@@---@@- -@-----@- --@@@@@@- -------@- -@@---@@- ---@@@--- --------- --------- --------- -# -# h_map= 80808080B8C6828282828200 --------- --------- -@------- -@------- -@------- -@------- -@-@@@--- -@@---@@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --------- --------- --------- -# -# i_map= 001000001010101010120C00 --------- --------- --------- ----@---- --------- --------- ----@---- ----@---- ----@---- ----@---- ----@---- ----@--@- -----@@-- --------- --------- --------- -# -# j_map= 000400000404040404887000 --------- --------- --------- ------@-- --------- --------- ------@-- ------@-- ------@-- ------@-- ------@-- -@---@--- --@@@---- --------- --------- --------- -# -# k_map= 0080808086B8C0B088848200 --------- --------- --------- -@------- -@------- -@------- -@----@@- -@-@@@--- -@@------ -@-@@---- -@---@--- -@----@-- -@-----@- --------- --------- --------- -# -# l_map= 202020202020202020100E00 --------- --------- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ---@----- ----@---- -----@@@- --------- --------- --------- -# -# m_map= 00000000ACD2929292929200 --------- --------- --------- --------- --------- --------- -@-@-@@-- -@@-@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- --------- --------- --------- -# -# n_map= 00000000B8C6828282828200 --------- --------- --------- --------- --------- --------- -@-@@@--- -@@---@@- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- --------- --------- --------- -# -# o_map= 0000000038C6828282C63800 --------- --------- --------- --------- --------- --------- ---@@@--- -@@---@@- -@-----@- -@-----@- -@-----@- -@@---@@- ---@@@--- --------- --------- --------- -# -# p_map= 00000000B8C682FC80808000 --------- --------- --------- --------- --------- --------- -@-@@@--- -@@---@@- -@-----@- -@@@@@@-- -@------- -@------- -@------- --------- --------- --------- -# -# q_map= 000000003AC6827E02020200 --------- --------- --------- --------- --------- --------- ---@@@-@- -@@---@@- -@-----@- --@@@@@@- -------@- -------@- -------@- --------- --------- --------- -# -# r_map= 00000000B8C6808080808000 --------- --------- --------- --------- --------- --------- -@-@@@--- -@@---@@- -@------- -@------- -@------- -@------- -@------- --------- --------- --------- -# -# s_map= 000000007C82807E02827C00 --------- --------- --------- --------- --------- --------- --@@@@@-- -@-----@- -@------- --@@@@@@- -------@- -@-----@- --@@@@@-- --------- --------- --------- -# -# t_map= 80808080F880808080423C00 --------- --------- -@------- -@------- -@------- -@------- -@@@@@--- -@------- -@------- -@------- -@------- --@----@- ---@@@@-- --------- --------- --------- -# -# u_map= 000000008282828282C63A00 --------- --------- --------- --------- --------- --------- -@-----@- -@-----@- -@-----@- -@-----@- -@-----@- -@@---@@- ---@@@-@- --------- --------- --------- -# -# v_map= 000000008282828244281000 --------- --------- --------- --------- --------- --------- -@-----@- -@-----@- -@-----@- -@-----@- --@---@-- ---@-@--- ----@---- --------- --------- --------- -# -# w_map= 000000008292929292926C00 --------- --------- --------- --------- --------- --------- -@-----@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- -@--@--@- --@@-@@-- --------- --------- --------- -# -# x_map= 000000000082442838448200 --------- --------- --------- --------- --------- --------- --------- -@-----@- --@---@-- ---@-@--- ---@@@--- --@---@-- -@-----@- --------- --------- --------- -# -# y_map= 000000000082423C08083000 --------- --------- --------- --------- --------- --------- --------- -@-----@- --@----@- ---@@@@-- -----@--- -----@--- ---@@---- --------- --------- --------- -# -# z_map= 0000000000FE04083040FE00 --------- --------- --------- --------- --------- --------- --------- -@@@@@@@- ------@-- -----@--- ---@@---- --@------ -@@@@@@@- --------- --------- --------- -# -# {_map= 102020404080404020201000 --------- --------- ----@---- ---@----- ---@----- ---@----- --@------ -@------- --@------ ---@----- ---@----- ---@----- ----@---- --------- --------- --------- -# -# |_map= 101010101010101010101000 --------- --------- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- ----@---- --------- --------- --------- -# -# }_map= 100808040402040408081000 --------- --------- ----@---- -----@--- -----@--- -----@--- ------@-- -------@- ------@-- -----@--- -----@--- -----@--- ----@---- --------- --------- --------- -# -# ~_map= 0000000060920C0000000000 --------- --------- --------- --------- --------- --------- --@@----- -@--@--@- -----@@-- --------- --------- --------- --------- --------- --------- --------- -# -# No-Break Space 000000000000000000000000 --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- --------- ---------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.