OpenCores
URL https://opencores.org/ocsvn/zap/zap/trunk

Subversion Repositories zap

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 28 to Rev 29
    Reverse comparison

Rev 28 → Rev 29

/zap/trunk/src/rtl/cpu/zap_cache_fsm.v
166,7 → 166,7
buf_ff[0] <= buf_nxt[0];
buf_ff[1] <= buf_nxt[1];
buf_ff[2] <= buf_nxt[2];
buf_ff[3] <= buf_nxt[3];
buf_ff[3] <= buf_nxt[3];
end
end
 
185,7 → 185,7
cache_clean_req_nxt = cache_clean_req_ff;
cache_inv_req_nxt = cache_clean_req_ff;
o_fsr = 0;
o_far = 0;
o_far = 0;
o_cache_tag = 0;
o_cache_inv_done = 0;
o_cache_clean_done = 0;
223,8 → 223,8
/* MMU access fault. */
o_err = 1'd1;
o_ack = 1'd1;
o_fsr = i_fsr;
o_far = i_far;
o_fsr = i_fsr;
o_far = i_far;
end
else if ( i_busy )
begin
258,7 → 258,6
{i_din,i_din,i_din,i_din};
o_cache_line_ben = ben_comp ( i_address[3:2], i_ben );
// i_ben << (i_address[3:2] << 2);
 
/* Write to tag and also write out physical address. */
o_cache_tag_wr_en = 1'd1;
315,7 → 314,7
o_wb_adr_nxt = i_phy_addr;
o_wb_dat_nxt = i_din;
o_wb_wen_nxt = i_wr;
o_wb_sel_nxt = /*i_wr ?*/ i_ben /*: 4'b1111*/;
o_wb_sel_nxt = i_ben; // Was i_wr ? i_ben : 4'b1111
o_wb_cti_nxt = CTI_CLASSIC;
end
end
/zap/trunk/src/rtl/cpu/zap_tlb_fsm.v
173,7 → 173,7
begin
if ( i_walk )
begin
$display($time, "%m :: Page fault! Need to page walk!");
$display($time, "%m :: Page fault! Need to page walk! i_walk = %b", i_walk);
$display($time, "%m :: Core generated address %x", i_address);
$display($time, "%m :: Moving to FETCH_L1_DESC. i_baddr = %x baddr_tran_base = %x addr_va_table_index = %x",
i_baddr, i_baddr[`VA__TRANSLATION_BASE], i_address[`VA__TABLE_INDEX]);
219,17 → 219,21
end
end
 
FETCH_L1_DESC_0:
FETCH_L1_DESC_0:
begin
$display($time, "%m :: In state FETCH_L1_DESC_0");
 
o_busy = 1;
 
if ( i_wb_ack )
begin
o_busy = 1;
dnxt = i_wb_dat;
state_nxt = FETCH_L1_DESC;
 
if ( i_wb_ack )
begin
dnxt = i_wb_dat;
state_nxt = FETCH_L1_DESC;
end
else tsk_hold_wb_access;
$display($time, "%m :: Received %x from WB. Moving to FETCH_L1_DESC...", dnxt );
end
else tsk_hold_wb_access;
end
 
FETCH_L1_DESC:
begin
/zap/trunk/src/rtl/cpu/zap_top.v
74,10 → 74,13
output wire [31:0] o_wb_dat,
output wire [3:0] o_wb_sel,
output wire [2:0] o_wb_cti,
output wire [1:0] o_wb_bte,
input wire i_wb_ack,
input wire [31:0] i_wb_dat
);
 
assign o_wb_bte = 2'b00; // Linear Burst.
 
localparam COMPRESSED_EN = 1'd1;
 
`include "zap_defines.vh"
/zap/trunk/src/rtl/cpu/zap_wb_adapter.v
43,8 → 43,8
input wire [31:0] I_WB_ADR,
input wire [31:0] I_WB_DAT,
input wire I_WB_WE,
output reg [31:0] O_WB_DAT,
output reg O_WB_ACK,
output reg [31:0] O_WB_DAT,
output reg O_WB_ACK,
 
// Wishbone interface.
output reg o_wb_cyc,
/zap/trunk/src/scripts/Config.cfg_template
20,8 → 20,6
SYNTHESIS => 1, # Make this to 1 to simulate compile from a synthesis perspective.
DUMP_START => 2000, # Starting memory address from which to dump.
DUMP_SIZE => 200, # Length of dump in bytes.
IRQ_EN => 1, # Make this 1 to enable IRQ signal from TB.
FIQ_EN => 0, # Make this 1 to enable FIQ signal from TB.
MAX_CLOCK_CYCLES => 100000, # Clock cycles to run the simulation for.
ALLOW_STALLS => 1, # Make this 1 to allow external RAM to signal a stall.
DEFINE_TLB_DEBUG => 0, # Make this 1 to define TLB_DEBUG. Useful for debugging the TLB.
/zap/trunk/src/scripts/run_sim.pl
26,8 → 26,6
my $SYNTHESIS = $Config{'SYNTHESIS'};
my $DUMP_START = $Config{'DUMP_START'};
my $DUMP_SIZE = $Config{'DUMP_SIZE'};
my $IRQ_EN = $Config{'IRQ_EN'};
my $FIQ_EN = $Config{'FIQ_EN'};
my $MAX_CLOCK_CYCLES = $Config{'MAX_CLOCK_CYCLES'};
my $TLB_DEBUG = $Config{'DEFINE_TLB_DEBUG'};
my $STALL = $Config{'ALLOW_STALLS'};
49,6 → 47,8
my $ZAP_HOME = "../../../";
my $TEST = "null";
my $SCRATCH = "/dev/null";
my $IRQ_EN = 0;
my $FIQ_EN = 0;
 
if ( $SEED == -1 ) {
$SEED = randSeed();
86,7 → 86,9
my $UART_PATH = "$SCRATCH/zapuart.fifo";
 
# Generate IVL options.
my $IVL_OPTIONS .= " -I$ZAP_HOME/src/rtl/cpu -I$ZAP_HOME/obj/ts/$TEST -I$ZAP_HOME/src/testbench/cpu/uart16550/rtl $ZAP_HOME/src/testbench/cpu/uart16550/rtl/*.v ";
my $IVL_OPTIONS .=
" -I$ZAP_HOME/src/rtl/cpu -I$ZAP_HOME/obj/ts/$TEST -I$ZAP_HOME/src/testbench/cpu/uart16550/rtl $ZAP_HOME/src/testbench/cpu/uart16550/rtl/*.v $ZAP_HOME/src/testbench/cpu/timer/timer.v $ZAP_HOME/src/testbench/cpu/vic/vic.v";
 
$IVL_OPTIONS .= " $ZAP_HOME/src/rtl/*/*.v $ZAP_HOME/src/testbench/cpu/*.v -o $VVP_PATH -gstrict-ca-eval -Wall -g2001 -Winfloop -DSEED=$SEED -DMEMORY_IMAGE=\\\"$PROG_PATH\\\" ";
 
$IVL_OPTIONS .= " -DVCD_FILE_PATH=\\\"$VCD_PATH\\\" ";
/zap/trunk/src/testbench/cpu/timer/timer.v
0,0 → 1,231
`default_nettype none
 
//
// A testbench model of a wishbone timer peripheral.
//
// Local addresses:
// 0x0 (DEVEN) - 0x1 to enable the timer unit. 0x0 to disable the unit.
// 0x4 (DEVPR) - Timer length in number of Wishbone clocks.
// 0x8 (DEVAK) - Write: 0x1 to acknowledge interrupt. Read: 0x1 reveals timer interrupt occured.
// 0xC (DEVST) - 0x1 to start the timer. Write only. Always reads 0x0.
//
 
module timer (
 
// Clock and reset.
input wire i_clk,
input wire i_rst,
 
// Wishbone interface.
input wire [31:0] i_wb_dat,
input wire [3:0] i_wb_adr,
input wire i_wb_stb,
input wire i_wb_cyc,
input wire i_wb_wen,
input wire [3:0] i_wb_sel,
output reg [31:0] o_wb_dat,
output reg o_wb_ack,
 
 
// Interrupt output. Level interrupt.
output reg o_irq
 
);
 
// Timer registers.
reg [31:0] DEVEN; // 0x0
reg [31:0] DEVPR; // 0x4
reg [31:0] DEVAK; // 0x8
reg [31:0] DEVST; // 0xC
 
`ifndef TB_TIMER
`define TB_TIMER
`define DEVEN 32'h0
`define DEVPR 32'h4
`define DEVAK 32'h8
`define DEVST 32'hC
`endif
 
// Timer core.
reg [31:0] ctr; // Core counter.
reg start; // Pulse to start the timer. Done signal is cleared.
reg done; // Asserted when timer is done.
reg clr; // Clears the done signal.
reg [31:0] state; // State
reg enable; // 1 to enable the timer.
reg [31:0] finalval; // Final value to count.
reg [31:0] wbstate;
 
localparam IDLE = 0;
localparam COUNTING = 1;
localparam DONE = 2;
 
localparam WBIDLE = 0;
localparam WBREAD = 1;
localparam WBWRITE = 2;
localparam WBACK = 3;
 
always @*
o_irq = done;
 
always @*
begin
start = DEVST[0];
enable = DEVEN[0];
finalval = DEVPR;
clr = DEVAK[0];
end
 
always @ (posedge i_clk)
begin
DEVST <= 0;
 
if ( i_rst )
begin
DEVEN <= 0;
DEVPR <= 0;
DEVAK <= 0;
DEVST <= 0;
wbstate <= WBIDLE;
o_wb_dat <= 0;
o_wb_ack <= 0;
end
else
begin
case(wbstate)
WBIDLE:
begin
o_wb_ack <= 1'd0;
 
if ( i_wb_stb && i_wb_cyc )
begin
if ( i_wb_wen )
wbstate <= WBWRITE;
else
wbstate <= WBREAD;
end
end
 
WBWRITE:
begin
case(i_wb_adr)
`DEVEN: // DEVEN
begin
$display($time, " - %m --> Writing register DEVEN...");
if ( i_wb_sel[0] ) DEVEN[7:0] <= i_wb_dat >> 0;
if ( i_wb_sel[1] ) DEVEN[15:8] <= i_wb_dat >> 8;
if ( i_wb_sel[2] ) DEVEN[23:16] <= i_wb_dat >> 16;
if ( i_wb_sel[3] ) DEVEN[31:24] <= i_wb_dat >> 24;
end
 
`DEVPR: // DEVPR
begin
$display($time, " - %m --> Writing register DEVPR...");
if ( i_wb_sel[0] ) DEVPR[7:0] <= i_wb_dat >> 0;
if ( i_wb_sel[1] ) DEVPR[15:8] <= i_wb_dat >> 8;
if ( i_wb_sel[2] ) DEVPR[23:16] <= i_wb_dat >> 16;
if ( i_wb_sel[3] ) DEVPR[31:24] <= i_wb_dat >> 24;
end
 
`DEVAK: // DEVAK
begin
$display($time, " - %m --> Writing register DEVAK...");
if ( i_wb_sel[0] ) DEVPR[7:0] <= i_wb_dat >> 0;
if ( i_wb_sel[1] ) DEVPR[15:8] <= i_wb_dat >> 8;
if ( i_wb_sel[2] ) DEVPR[23:16] <= i_wb_dat >> 16;
if ( i_wb_sel[3] ) DEVPR[31:24] <= i_wb_dat >> 24;
end
 
`DEVST: // DEVST
begin
$display($time, " - %m --> Writing register DEVST...");
if ( i_wb_sel[0] ) DEVST[7:0] <= i_wb_dat >> 0;
if ( i_wb_sel[1] ) DEVST[15:8] <= i_wb_dat >> 8;
if ( i_wb_sel[2] ) DEVST[23:16] <= i_wb_dat >> 16;
if ( i_wb_sel[3] ) DEVST[31:24] <= i_wb_dat >> 24;
end
 
endcase
 
wbstate <= WBACK;
end
 
WBREAD:
begin
case(i_wb_adr)
`DEVEN: o_wb_dat <= DEVEN;
`DEVPR: o_wb_dat <= DEVPR;
`DEVAK: o_wb_dat <= done;
`DEVST: o_wb_dat <= 32'd0;
endcase
 
wbstate <= WBACK;
end
 
WBACK:
begin
o_wb_ack <= 1'd1;
wbstate <= WBIDLE;
end
endcase
end
end
 
always @ (posedge i_clk)
begin
if ( i_rst || !enable )
begin
ctr <= 0;
done <= 0;
state <= IDLE;
end
else // if enabled
begin
case(state)
IDLE:
begin
if ( start )
begin
$display($time,": Timer started counting...");
state <= COUNTING;
end
end
 
COUNTING:
begin
ctr <= ctr + 1;
 
if ( ctr == finalval )
begin
$display($time, ": Timer done counting...");
state <= DONE;
end
end
 
DONE:
begin
done <= 1;
 
if ( start )
begin
$display($time, ": Timer got START from DONE state...");
done <= 0;
state <= COUNTING;
ctr <= 0;
end
else if ( clr ) // Acknowledge.
begin
$display($time, ": Timer got done in ACK state...");
done <= 0;
state <= IDLE;
ctr <= 0;
end
end
endcase
end
end
 
endmodule
 
`default_nettype wire
/zap/trunk/src/testbench/cpu/vic/vic.v
0,0 → 1,147
`default_nettype none
 
//
// Testbench VIC model.
//
// 0x0 - INT_STATUS
// 0x4 - INT_MASK
// 0x8 - INT_CLEAR
//
 
module vic #(parameter SOURCES = 2) (
 
// Clock and reset.
input wire i_clk,
input wire i_rst,
 
// Wishbone interface.
input wire [31:0] i_wb_dat,
input wire [3:0] i_wb_adr,
input wire i_wb_stb,
input wire i_wb_cyc,
input wire i_wb_wen,
input wire [3:0] i_wb_sel,
output reg [31:0] o_wb_dat,
output reg o_wb_ack,
 
// Interrupt sources in
input [SOURCES-1:0] i_irq,
 
// Interrupt output. Level interrupt.
output reg o_irq
 
 
);
 
`define INT_STATUS 0
`define INT_MASK 4
`define INT_CLEAR 8
 
reg [31:0] INT_STATUS;
reg [31:0] INT_MASK;
reg [31:0] wbstate;
 
// Wishbone states.
localparam WBIDLE = 0;
localparam WBREAD = 1;
localparam WBWRITE = 2;
localparam WBACK = 3;
 
always @ (posedge i_clk)
begin
o_irq <= | ( INT_STATUS & ~INT_MASK );
end
 
always @ (posedge i_clk)
begin
if ( i_rst )
begin
wbstate <= WBIDLE;
o_wb_dat <= 0;
o_wb_ack <= 0;
 
INT_MASK <= 32'hffffffff;
INT_STATUS <= 32'h0;
end
else
begin:blk1
integer i;
 
// Normally record interrupts.
for(i=0;i<SOURCES;i++)
INT_STATUS[i] <= INT_STATUS[i] == 0 ? i_irq[i] : 1'd1;
 
case(wbstate)
WBIDLE:
begin
o_wb_ack <= 1'd0;
 
if ( i_wb_stb && i_wb_cyc )
begin
if ( i_wb_wen )
wbstate <= WBWRITE;
else
wbstate <= WBREAD;
end
end
 
WBWRITE:
begin
case(i_wb_adr)
 
`INT_MASK: // INT_MASK
begin
$display($time, ":Writing to INT_MASK register...");
if ( i_wb_sel[0] ) INT_MASK[7:0] <= i_wb_dat >> 0;
if ( i_wb_sel[1] ) INT_MASK[15:8] <= i_wb_dat >> 8;
if ( i_wb_sel[2] ) INT_MASK[23:16] <= i_wb_dat >> 16;
if ( i_wb_sel[3] ) INT_MASK[31:24] <= i_wb_dat >> 24;
end
 
`INT_CLEAR: // INT_CLEAR
begin: blk22
integer i;
 
$display($time, "Writing to INT_CLEAR register...");
if ( i_wb_sel[0] ) for(i=0; i <=7;i++) if ( i_wb_dat[i] ) INT_STATUS[i] <= 1'd0;
if ( i_wb_sel[1] ) for(i=8; i<=15;i++) if ( i_wb_dat[i] ) INT_STATUS[i] <= 1'd0;
if ( i_wb_sel[2] ) for(i=16;i<=23;i++) if ( i_wb_dat[i] ) INT_STATUS[i] <= 1'd0;
if ( i_wb_sel[3] ) for(i=24;i<=31;i++) if ( i_wb_dat[i] ) INT_STATUS[i] <= 1'd0;
end
 
default: $display($time, "%m --> Warning: Attemting to write to illgal register...");
 
endcase
 
wbstate <= WBACK;
end
 
WBREAD:
begin
case(i_wb_adr)
`INT_STATUS: o_wb_dat <= `INT_STATUS;
`INT_MASK: o_wb_dat <= `INT_MASK;
 
default:
begin
$display($time, " %m --> Warning: Attempting to read from illegal register. Will return 0...");
o_wb_dat <= 0;
end
endcase
 
wbstate <= WBACK;
end
 
WBACK:
begin
o_wb_ack <= 1'd1;
wbstate <= WBIDLE;
end
endcase
end
end
 
endmodule
 
`default_nettype wire
/zap/trunk/src/testbench/cpu/zap_tb.v
2,18 → 2,22
`include "zap_defines.vh"
 
//
// Top level testbench. Ties the CPU together with RAM and UART.
// UART address space starts from FFFFFFE0 to FFFFFFFF.
// Top level testbench. Ties the CPU together with RAM, UART, VIC and a timer.
//
// UART address space starts from FFFFFFE0 to FFFFFFFF
// Timer address space starts from FFFFFFC0 to FFFFFFDF
// VIC address space starts from FFFFFFA0 to FFFFFFBF
//
 
module zap_test; // +nctop+zap_test
 
// Bench related.
localparam UART_LO = 32'hFFFFFFE0;
localparam UART_HI = 32'hFFFFFFFF;
localparam TIMER_LO = 32'hFFFFFFC0;
localparam TIMER_HI = 32'hFFFFFFDF;
localparam VIC_LO = 32'hFFFFFFA0;
localparam VIC_HI = 32'hFFFFFFBF;
 
//`define STALL
//`define IRQ_EN
//`define MAX_CLOCK_CYCLES 100000
 
// CPU config.
parameter RAM_SIZE = 32768;
parameter START = 1992;
35,19 → 39,20
reg i_clk;
reg i_reset;
 
reg i_irq;
reg i_fiq;
 
wire data_wb_cyc; reg data_wb_cyc_ram, data_wb_cyc_uart;
wire data_wb_stb; reg data_wb_stb_ram, data_wb_stb_uart;
wire data_wb_cyc; reg data_wb_cyc_ram, data_wb_cyc_uart, data_wb_cyc_timer, data_wb_cyc_vic;
wire data_wb_stb; reg data_wb_stb_ram, data_wb_stb_uart, data_wb_stb_timer, data_wb_stb_vic;
reg [31:0] data_wb_din; wire [31:0] data_wb_din_ram, data_wb_din_uart, data_wb_din_timer, data_wb_din_vic;
reg data_wb_ack; wire data_wb_ack_ram, data_wb_ack_uart, data_wb_ack_timer, data_wb_ack_vic;
 
wire [3:0] data_wb_sel;
wire data_wb_we;
reg [31:0] data_wb_din; wire [31:0] data_wb_din_ram, data_wb_din_uart;
wire [31:0] data_wb_dout;
wire [31:0] data_wb_adr;
reg data_wb_ack; wire data_wb_ack_ram, data_wb_ack_uart;
wire [2:0] data_wb_cti; // Cycle Type Indicator.
 
wire global_irq;
 
// Wishbone selector.
always @*
begin
55,8 → 60,12
data_wb_stb_uart = 0;
data_wb_cyc_ram = 0;
data_wb_stb_ram = 0;
data_wb_cyc_timer = 0;
data_wb_stb_timer = 0;
data_wb_cyc_vic = 0;
data_wb_stb_vic = 0;
 
if ( &data_wb_adr[31:5] ) // UART access
if ( data_wb_adr >= UART_LO && data_wb_adr <= UART_HI ) // UART access
begin
data_wb_cyc_uart = data_wb_cyc;
data_wb_stb_uart = data_wb_stb;
63,6 → 72,20
data_wb_ack = data_wb_ack_uart;
data_wb_din = data_wb_din_uart;
end
else if ( data_wb_adr >= TIMER_LO && data_wb_adr <= TIMER_HI ) // Timer access
begin
data_wb_cyc_timer = data_wb_cyc;
data_wb_stb_timer = data_wb_stb;
data_wb_ack = data_wb_ack_timer;
data_wb_din = data_wb_din_timer;
end
else if ( data_wb_adr >= VIC_LO && data_wb_adr <= VIC_HI ) // VIC access.
begin
data_wb_cyc_vic = data_wb_cyc;
data_wb_stb_vic = data_wb_stb;
data_wb_ack = data_wb_ack_vic;
data_wb_din = data_wb_din_vic;
end
else // RAM access
begin
data_wb_cyc_ram = data_wb_cyc;
84,14 → 107,6
$display("STALL defined!");
`endif
`ifdef IRQ_EN
$display("IRQ_EN defined!");
`endif
`ifdef FIQ_EN
$display("FIQ_EN defined!");
`endif
`ifdef MAX_CLOCK_CYCLES
$display("MAX_CLOCK_CYCLES defined!");
`endif
114,6 → 129,8
 
end
 
//////////////////////////////////////////////////////////////////////////////////
 
// =========================
// Processor core.
// =========================
139,9 → 156,8
(
.i_clk(i_clk),
.i_reset(i_reset),
.i_irq(i_irq),
.i_fiq(i_fiq),
 
.i_irq(global_irq),
.i_fiq(1'd0),
.o_wb_cyc(data_wb_cyc),
.o_wb_stb(data_wb_stb),
.o_wb_adr(data_wb_adr),
150,7 → 166,8
.i_wb_dat(data_wb_din),
.o_wb_dat(data_wb_dout),
.i_wb_ack(data_wb_ack),
.o_wb_sel(data_wb_sel)
.o_wb_sel(data_wb_sel),
.o_wb_bte() // Always zero.
 
);
 
160,6 → 177,7
 
wire uart_in = 1'd0;
wire uart_out;
wire uart_irq;
 
uart_top u_uart_top (
 
174,7 → 192,7
.wb_cyc_i(data_wb_cyc_uart),
.wb_sel_i(data_wb_sel),
.wb_ack_o(data_wb_ack_uart),
.int_o (), // Interrupt.
.int_o (uart_irq), // Interrupt.
// UART signals.
.srx_pad_i(uart_in),
187,6 → 205,48
.dcd_pad_i(1'd0)
);
 
// ===============================
// Timer
// ===============================
 
wire timer_irq;
 
timer u_timer (
.i_clk(i_clk),
.i_rst(i_reset),
.i_wb_adr(data_wb_adr),
.i_wb_dat(data_wb_dout),
.i_wb_stb(data_wb_stb_timer),
.i_wb_cyc(data_wb_cyc_timer), // From core
.i_wb_wen(data_wb_we),
.i_wb_sel(data_wb_sel),
.o_wb_dat(data_wb_din_timer), // To core.
.o_wb_ack(data_wb_ack_timer),
.o_irq(timer_irq) // Interrupt
);
 
// ===============================
// VIC
// ===============================
 
vic #(.SOURCES(2)) u_vic (
.i_clk(i_clk),
.i_rst(i_reset),
.i_wb_adr(data_wb_adr),
.i_wb_dat(data_wb_dout),
.i_wb_stb(data_wb_stb_vic),
.i_wb_cyc(data_wb_cyc_vic), // From core
.i_wb_wen(data_wb_we),
.i_wb_sel(data_wb_sel),
.o_wb_dat(data_wb_din_vic), // To core.
.o_wb_ack(data_wb_ack_vic),
 
.i_irq({timer_irq, uart_irq}), // Concatenate interrupt sources.
.o_irq(global_irq) // Interrupt out
);
 
///////////////////////////////////////////////////////////////////////////////
 
reg [3:0] clk_ctr = 4'd0;
 
// Logic to read from UART - Assumes no parity, 8 bits per character and
344,34 → 404,10
// Interrupts
// ===========================
 
`ifdef IRQ_EN
 
always @ (negedge i_clk)
begin
i_irq = $random(seed);
end
 
`endif
 
`ifdef FIQ_EN
 
always @ (negedge i_clk)
begin: blk1
reg [1:0] x;
x = $random(seed_new);
 
i_fiq = (x == 0) ? 1'd1 : 1'd0 ; // 25 percent chance.
end
 
`endif
 
initial i_reset = 1'd0;
 
initial
begin
i_irq = 0;
i_fiq = 0;
 
for(i=START;i<START+COUNT;i=i+4)
begin
$display("DATA INITIAL :: mem[%d] = %x", i, {U_MODEL_RAM_DATA.ram[(i/4)]});
/zap/trunk/src/ts/arm_test/Config.cfg
20,8 → 20,6
SYNTHESIS => 0, # 0 allows debug messages.
DUMP_START => 2000, # Starting memory address from which to dump.
DUMP_SIZE => 200, # Length of dump in bytes.
IRQ_EN => 0, # Make this 1 to enable IRQ signal from TB.
FIQ_EN => 0, # Make this 1 to enable FIQ signal from TB.
MAX_CLOCK_CYCLES => 100000, # Clock cycles to run the simulation for.
ALLOW_STALLS => 1, # Make this 1 to allow external RAM to signal a stall.
DEFINE_TLB_DEBUG => 0, # Make this 1 to define TLB_DEBUG. Useful for debugging the TLB.
/zap/trunk/src/ts/factorial/Config.cfg
4,12 → 4,12
# CPU configuration.
DATA_CACHE_SIZE => 4096, # Data cache size in bytes
CODE_CACHE_SIZE => 4096, # Instruction cache size in bytes
CODE_SECTION_TLB_ENTRIES => 8, # Instruction section TLB entries.
CODE_SPAGE_TLB_ENTRIES => 32, # Instruction small page TLB entries.
CODE_LPAGE_TLB_ENTRIES => 16, # Instruction large page TLB entries.
DATA_SECTION_TLB_ENTRIES => 8, # Data section TLB entries.
DATA_SPAGE_TLB_ENTRIES => 32, # Data small page TLB entries.
DATA_LPAGE_TLB_ENTRIES => 16, # Data large page TLB entries.
CODE_SECTION_TLB_ENTRIES => 512, # Instruction section TLB entries.
CODE_SPAGE_TLB_ENTRIES => 512, # Instruction small page TLB entries.
CODE_LPAGE_TLB_ENTRIES => 512, # Instruction large page TLB entries.
DATA_SECTION_TLB_ENTRIES => 512, # Data section TLB entries.
DATA_SPAGE_TLB_ENTRIES => 512, # Data small page TLB entries.
DATA_LPAGE_TLB_ENTRIES => 512, # Data large page TLB entries.
BP_DEPTH => 1024, # Branch predictor depth.
INSTR_FIFO_DEPTH => 4, # Instruction buffer depth.
STORE_BUFFER_DEPTH => 16, # Store buffer depth.
20,8 → 20,6
SYNTHESIS => 0, # 0 allows debug messages.
DUMP_START => 2000, # Starting memory address from which to dump.
DUMP_SIZE => 200, # Length of dump in bytes.
IRQ_EN => 1, # Make this 1 to enable IRQ signal from TB.
FIQ_EN => 1, # Make this 1 to enable FIQ signal from TB.
MAX_CLOCK_CYCLES => 100000, # Clock cycles to run the simulation for.
ALLOW_STALLS => 1, # Make this 1 to allow external RAM to signal a stall.
DEFINE_TLB_DEBUG => 0, # Make this 1 to define TLB_DEBUG. Useful for debugging the TLB.
/zap/trunk/src/ts/factorial/factorial.s
1,5 → 1,6
 
//
// Startup file for factorial.
//
 
.global _Reset
 
14,9 → 15,11
fiq : b FIQ
 
UNDEF:
 
// Undefined vector.
// LR Points to next instruction.
stmfa sp!, {r0-r12, r14}
 
// Corrupt registers.
mov r0, #1
mov r1, #2
32,6 → 35,7
mov r11, #13
mov r12, #14
mov r14, #15
 
// Restore them.
ldmfa sp!, {r0-r12, pc}^
 
39,6 → 43,7
IRQ:
sub r14, r14, #4
stmfd sp!, {r0-r12, r14}
 
mov r0, #1
mov r1, #2
mov r2, #3
53,6 → 58,19
mov r11, #13
mov r12, #14
mov r14, #15
 
# Restart timer
ldr r0 ,=#0xFFFFFFC0 // Timer base address.
add r0, r0, #12
ldr r1, =#0x1
str r1, [r0] // Restart the timer.
 
# Clear interrupt in VIC.
ldr r0, =#0xFFFFFFA0 // VIC base address
add r0, r0, #8
ldr r1, =#0xFFFFFFFF
str r1, [r0] // Clear all interrupt pending status
 
ldmfd sp!, {r0-r12, pc}^
 
FIQ:
134,9 → 152,22
 
// Set up a section desctiptor for identity mapping that is Cachaeable.
mov r1, #1
mov r1, r1, lsl #14
mov r2, #14 // Cacheable descriptor.
str r2, [r1] // Write identity section desctiptor to 16KB location.
mov r1, r1, lsl #14 // 16KB
mov r2, #14 // Cacheable identity descriptor.
str r2, [r1] // Write identity section desctiptor to 16KB location.
ldr r6, [r1] // R6 holds the descriptor.
mov r7, r1 // R7 holds the address.
 
// Set up a section descriptor for upper 1MB of virtual address space.
// This is identity mapping. Uncacheable.
mov r1, #1
mov r1, r1, lsl #14 // 16KB. This is descriptor 0.
// Go to descriptor 4095. This is the address BASE + (#DESC * 4).
ldr r2,=#16380
add r1, r1, r2
// Prepare a descriptor. Descriptor = 0xFFF00002 (Uncacheable section descriptor).
ldr r2 ,=#0xFFF00002
str r2, [r1]
ldr r6, [r1]
mov r7, r1
 
152,6 → 183,25
ldr sp,=#3500
 
// Run main loop.
 
// Program VIC to allow timer interrupts.
ldr r0, =#0xFFFFFFA0 // VIC base address.
add r0, r0, #4 // Move to INT_MASK
ldr r1, =#0x0 // Prepare mask value
str r1, [r0] // Unmask all interrupt sources.
 
// Program timer peripheral to tick every 10000 clock cycles.
ldr r0 ,=#0xFFFFFFC0 // Timer base address.
ldr r1 ,=#1
str r1, [r0] // Enable timer
add r0, r0, #4
ldr r1, =#255
str r1, [r0] // Program to 255 clocks.
add r0, r0, #8
ldr r1, =#0x1
str r1, [r0] // Start the timer.
 
 
bl main
swi #0x00
here: b here
/zap/trunk/src/ts/uart/make
1,1625 → 1,1625
Script started on Thursday 17 May 2018 10:39:54 PM IST
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ ls
Config.cfg Description.txt make makefile uart.c uart.ld uart.s
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ make
bash ../../scripts/check_arc.sh
amd64
Machine is AMD64. Checking if IA32 support is present...
i386
Found IA32 support.
mkdir -p ../../../obj/ts/uart/
touch ../../../obj/ts/uart/
perl ../../scripts//run_sim.pl +test+uart
*I: Rand is 2296071743...
iverilog -I../../..//src/rtl/cpu -I../../..//obj/ts/uart -I../../..//src/testbench/cpu/uart16550/rtl ../../..//src/testbench/cpu/uart16550/rtl/*.v ../../..//src/rtl/*/*.v ../../..//src/testbench/cpu/*.v -o ../../..//obj/ts/uart/zap.vvp -gstrict-ca-eval -Wall -g2001 -Winfloop -DSEED=2296071743 -DMEMORY_IMAGE=\"../../..//obj/ts/uart/zap_mem.v\" -DVCD_FILE_PATH=\"../../..//obj/ts/uart/zap.vcd\" -DUART_FILE_PATH=\"../../..//obj/ts/uart/zapuart.fifo\" -Pzap_test.RAM_SIZE=32768 -Pzap_test.START=2000 -Pzap_test.COUNT=200 -DLINUX -Pzap_test.STORE_BUFFER_DEPTH=16 -Pzap_test.BP_ENTRIES=1024 -Pzap_test.FIFO_DEPTH=4 -Pzap_test.DATA_SECTION_TLB_ENTRIES=8 -Pzap_test.DATA_LPAGE_TLB_ENTRIES=16 -Pzap_test.DATA_SPAGE_TLB_ENTRIES=32 -Pzap_test.DATA_CACHE_SIZE=4096 -Pzap_test.CODE_SECTION_TLB_ENTRIES=8 -Pzap_test.CODE_LPAGE_TLB_ENTRIES=16 -Pzap_test.CODE_SPAGE_TLB_ENTRIES=32 -Pzap_test.CODE_CACHE_SIZE=4096 -DMAX_CLOCK_CYCLES=100000 -DSTALL
mknod: missing operand after ‘u’
Special files require major and minor device numbers.
Try 'mknod --help' for more information.
Setting up UART output monitor../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_overrun'.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_push_pulse'.
../../..//src/rtl/cpu/zap_top.v:57: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:65: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:64: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:60: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:77: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:70: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:71: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:73: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:41: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:49: warning: Port declaration of i_data_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:50: warning: Port declaration of i_data_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:104: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:102: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:67: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:105: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:103: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:76: warning: Port declaration of i_instr_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:77: warning: Port declaration of i_instr_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:68: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:111: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:115: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:110: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:46: warning: Port declaration of o_data_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:57: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:47: warning: Port declaration of o_data_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:58: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:45: warning: Port declaration of o_data_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:56: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:96: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:100: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:94: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:98: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:97: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:101: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:95: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:72: warning: Port declaration of o_instr_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:73: warning: Port declaration of o_instr_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:82: warning: Port declaration of o_instr_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:74: warning: Port declaration of o_instr_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:99: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:64: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:92: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:114: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:113: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:112: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:50: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:54: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:48: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:47: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:77: warning: Port declaration of i_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:60: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:49: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:66: warning: Port declaration of i_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:53: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:52: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:51: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:65: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:72: warning: Port declaration of o_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:71: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:35: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:39: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:33: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:28: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:34: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:29: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:38: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:37: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:36: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:42: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:31: warning: Port declaration of i_write_inhibit inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:45: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:41: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:45: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:39: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:64: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:40: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:57: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:60: warning: Port declaration of i_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:53: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:56: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:44: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:43: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:42: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:87: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:79: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:61: warning: Port declaration of o_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:77: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:86: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:78: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:46: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:43: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:39: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:42: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:61: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:57: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:55: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:60: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:56: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:79: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:72: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:70: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:61: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:85: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:92: warning: Port declaration of i_cpu_mode_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:71: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:78: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:66: warning: Port declaration of i_force32 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:97: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:77: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:82: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:62: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:74: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:73: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:67: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:113: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:127: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:120: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:117: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:101: warning: Port declaration of o_instruction_valid_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:104: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:114: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:49: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:47: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:31: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:58: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:39: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:48: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:44: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:43: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:55: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:32: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:51: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:50: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:36: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:73: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:62: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:61: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:70: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:66: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:62: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:60: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:45: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:57: warning: Port declaration of i_cpsr_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:61: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:54: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:50: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:53: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:64: warning: Port declaration of i_issue_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:46: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:63: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:75: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:68: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:74: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:71: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:74: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:52: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:83: warning: Port declaration of i_cpsr_ff_f inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:82: warning: Port declaration of i_cpsr_ff_i inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:73: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:62: warning: Port declaration of i_force32align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:87: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:72: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:53: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:69: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:59: warning: Port declaration of i_thumb_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:168: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:167: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:124: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:174: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:166: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:135: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:139: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:147: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:150: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:136: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:156: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:144: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:153: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:170: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:163: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:169: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:94: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:103: warning: Port declaration of o_flag_update inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:105: warning: Port declaration of o_mem_load inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:107: warning: Port declaration of o_mem_pre_index inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:109: warning: Port declaration of o_mem_signed_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:110: warning: Port declaration of o_mem_signed_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:106: warning: Port declaration of o_mem_store inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:112: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:108: warning: Port declaration of o_mem_unsigned_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:111: warning: Port declaration of o_mem_unsigned_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:114: warning: Port declaration of o_switch inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:113: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:104: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:147: warning: Port declaration of i_alu_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:146: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:173: warning: Port declaration of i_alu_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:58: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:103: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:90: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:114: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:102: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:93: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:95: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:97: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:98: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:94: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:100: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:96: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:99: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:148: warning: Port declaration of i_memory_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:174: warning: Port declaration of i_memory_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:59: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:172: warning: Port declaration of i_shifter_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:181: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:118: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:211: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:210: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:195: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:115: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:209: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:199: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:201: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:203: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:204: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:200: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:206: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:202: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:205: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:249: warning: Port declaration of o_shifter_disable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:238: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:212: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:182: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:119: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:88: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:93: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:61: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:59: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:47: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:60: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:109: warning: Port declaration of i_disable_shifter_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:87: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:74: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:119: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:86: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:77: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:79: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:81: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:82: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:78: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:84: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:80: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:83: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:48: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:89: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:123: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:112: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:145: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:144: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:163: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:120: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:143: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:150: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:152: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:154: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:155: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:151: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:157: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:153: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:156: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:135: warning: Port declaration of o_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:134: warning: Port declaration of o_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:166: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:146: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:124: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:113: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:50: warning: Port declaration of i_cc_satisfied inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:44: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:42: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:38: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:43: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:39: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:63: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:64: warning: Port declaration of o_nozero inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:38: warning: Port declaration of i_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:45: warning: Port declaration of o_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:102: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:76: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:69: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:107: warning: Port declaration of i_data_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:77: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:104: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:132: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:123: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:59: warning: Port declaration of i_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:62: warning: Port declaration of i_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:103: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:115: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:117: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:119: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:120: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:116: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:122: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:118: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:121: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:87: warning: Port declaration of i_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:70: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:95: warning: Port declaration of i_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:84: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:106: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:156: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:167: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:169: warning: Port declaration of o_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:198: warning: Port declaration of o_data_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:193: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:199: warning: Port declaration of o_data_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:194: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:197: warning: Port declaration of o_data_wb_we_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:192: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:146: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:147: warning: Port declaration of o_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:158: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:157: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:176: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:180: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:181: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:177: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:184: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:179: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:182: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:159: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:160: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:50: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:46: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:65: warning: Port declaration of i_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:75: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:76: warning: Port declaration of i_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:74: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:61: warning: Port declaration of i_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:54: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:47: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:87: warning: Port declaration of i_sbyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:89: warning: Port declaration of i_shalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:77: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:88: warning: Port declaration of i_ubyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:90: warning: Port declaration of i_uhalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:93: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:107: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:114: warning: Port declaration of o_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:62: warning: Port declaration of o_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:117: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:113: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:94: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:52: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:57: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:50: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:90: warning: Port declaration of i_copro_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:81: warning: Port declaration of i_data_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:79: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:80: warning: Port declaration of i_instr_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:78: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:67: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:54: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:55: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:56: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:82: warning: Port declaration of i_swi inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:73: warning: Port declaration of i_thumb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:83: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:45: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:113: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:119: warning: Port declaration of o_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:118: warning: Port declaration of o_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:36: warning: Port declaration of o_shelve inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:33: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:37: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:50: warning: Port declaration of i_cp_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:122: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:118: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:123: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:119: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:53: warning: Port declaration of o_cp_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:106: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:114: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:102: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:110: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:107: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:115: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:103: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:111: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:94: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:60: warning: Port declaration of o_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:39: warning: Port declaration of i_c_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:38: warning: Port declaration of i_c_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:40: warning: Port declaration of i_c_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:49: warning: Port declaration of i_d_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:48: warning: Port declaration of i_d_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:50: warning: Port declaration of i_d_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:65: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:45: warning: Port declaration of o_c_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:55: warning: Port declaration of o_d_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:58: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:59: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:60: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:39: warning: Port declaration of I_WB_CYC inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:40: warning: Port declaration of I_WB_STB inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:45: warning: Port declaration of I_WB_WE inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:47: warning: Port declaration of O_WB_ACK inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:58: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:50: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:51: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:56: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:178: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:176: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:175: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:178: warning: Port declaration of wb_ack_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:174: warning: Port declaration of we_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:262: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:315: warning: Port declaration of int_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:261: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:258: warning: Port declaration of srx_pad_i inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:257: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_transmitter.v:157: warning: Port declaration of tf_push inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_tfifo.v:180: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:213: warning: Port declaration of rf_error_bit inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:212: warning: Port declaration of rf_overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:203: warning: Port declaration of rf_pop inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:215: warning: Port declaration of rf_push_pulse inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_rfifo.v:191: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:30: warning: Port declaration of o_wb_ack inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:31: warning: Port declaration of o_wb_ack2 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:321: warning: @* is sensitive to all 256 words in array 'dat_ram'.
../../..//src/rtl/cpu/zap_cache.v:334: warning: @* is sensitive to all 3 words in array 'wb_adr'.
../../..//src/rtl/cpu/zap_cache.v:335: warning: @* is sensitive to all 3 words in array 'wb_dat'.
../../..//src/rtl/cpu/zap_cache.v:336: warning: @* is sensitive to all 3 words in array 'wb_cti'.
../../..//src/rtl/cpu/zap_cache.v:337: warning: @* is sensitive to all 3 words in array 'wb_sel'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:321: warning: @* is sensitive to all 256 words in array 'dat_ram'.
../../..//src/rtl/cpu/zap_cache.v:334: warning: @* is sensitive to all 3 words in array 'wb_adr'.
../../..//src/rtl/cpu/zap_cache.v:335: warning: @* is sensitive to all 3 words in array 'wb_dat'.
../../..//src/rtl/cpu/zap_cache.v:336: warning: @* is sensitive to all 3 words in array 'wb_cti'.
../../..//src/rtl/cpu/zap_cache.v:337: warning: @* is sensitive to all 3 words in array 'wb_sel'.
../../..//src/testbench/cpu/zap_tb.v:164: warning: Port 3 (wb_adr_i) of uart_top expects 5 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:164: : Pruning 27 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 8 (i_wb_cyc2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 9 (i_wb_stb2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 12 (i_wb_sel2) of model_ram_dual expects 4 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 28 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 13 (i_wb_we2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
^C*E: VVP execution error!
../../scripts/makefile:55: recipe for target 'all' failed
make: *** [all] Error 25
 
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ make
bash ../../scripts/check_arc.sh
amd64
Machine is AMD64. Checking if IA32 support is present...
i386
Found IA32 support.
mkdir -p ../../../obj/ts/uart/
touch ../../../obj/ts/uart/
perl ../../scripts//run_sim.pl +test+uart
*I: Rand is 2408076136...
iverilog -I../../..//src/rtl/cpu -I../../..//obj/ts/uart -I../../..//src/testbench/cpu/uart16550/rtl ../../..//src/testbench/cpu/uart16550/rtl/*.v ../../..//src/rtl/*/*.v ../../..//src/testbench/cpu/*.v -o ../../..//obj/ts/uart/zap.vvp -gstrict-ca-eval -Wall -g2001 -Winfloop -DSEED=2408076136 -DMEMORY_IMAGE=\"../../..//obj/ts/uart/zap_mem.v\" -DVCD_FILE_PATH=\"../../..//obj/ts/uart/zap.vcd\" -DUART_FILE_PATH=\"../../..//obj/ts/uart/zapuart.fifo\" -Pzap_test.RAM_SIZE=32768 -Pzap_test.START=2000 -Pzap_test.COUNT=200 -DLINUX -Pzap_test.STORE_BUFFER_DEPTH=16 -Pzap_test.BP_ENTRIES=1024 -Pzap_test.FIFO_DEPTH=4 -Pzap_test.DATA_SECTION_TLB_ENTRIES=8 -Pzap_test.DATA_LPAGE_TLB_ENTRIES=16 -Pzap_test.DATA_SPAGE_TLB_ENTRIES=32 -Pzap_test.DATA_CACHE_SIZE=4096 -Pzap_test.CODE_SECTION_TLB_ENTRIES=8 -Pzap_test.CODE_LPAGE_TLB_ENTRIES=16 -Pzap_test.CODE_SPAGE_TLB_ENTRIES=32 -Pzap_test.CODE_CACHE_SIZE=4096 -DMAX_CLOCK_CYCLES=100000 -DSTALL
Setting up UART output monitor../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_overrun'.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_push_pulse'.
../../..//src/rtl/cpu/zap_top.v:57: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:65: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:64: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:60: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:77: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:70: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:71: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:73: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:41: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:49: warning: Port declaration of i_data_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:50: warning: Port declaration of i_data_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:104: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:102: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:67: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:105: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:103: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:76: warning: Port declaration of i_instr_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:77: warning: Port declaration of i_instr_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:68: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:111: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:115: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:110: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:46: warning: Port declaration of o_data_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:57: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:47: warning: Port declaration of o_data_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:58: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:45: warning: Port declaration of o_data_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:56: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:96: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:100: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:94: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:98: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:97: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:101: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:95: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:72: warning: Port declaration of o_instr_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:73: warning: Port declaration of o_instr_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:82: warning: Port declaration of o_instr_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:74: warning: Port declaration of o_instr_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:99: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:64: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:92: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:114: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:113: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:112: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:50: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:54: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:48: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:47: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:77: warning: Port declaration of i_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:60: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:49: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:66: warning: Port declaration of i_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:53: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:52: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:51: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:65: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:72: warning: Port declaration of o_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:71: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:35: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:39: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:33: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:28: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:34: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:29: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:38: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:37: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:36: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:42: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:31: warning: Port declaration of i_write_inhibit inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:45: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:41: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:45: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:39: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:64: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:40: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:57: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:60: warning: Port declaration of i_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:53: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:56: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:44: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:43: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:42: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:87: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:79: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:61: warning: Port declaration of o_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:77: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:86: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:78: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:46: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:43: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:39: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:42: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:61: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:57: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:55: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:60: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:56: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:79: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:72: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:70: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:61: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:85: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:92: warning: Port declaration of i_cpu_mode_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:71: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:78: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:66: warning: Port declaration of i_force32 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:97: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:77: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:82: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:62: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:74: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:73: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:67: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:113: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:127: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:120: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:117: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:101: warning: Port declaration of o_instruction_valid_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:104: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:114: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:49: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:47: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:31: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:58: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:39: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:48: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:44: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:43: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:55: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:32: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:51: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:50: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:36: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:73: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:62: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:61: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:70: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:66: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:62: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:60: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:45: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:57: warning: Port declaration of i_cpsr_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:61: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:54: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:50: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:53: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:64: warning: Port declaration of i_issue_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:46: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:63: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:75: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:68: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:74: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:71: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:74: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:52: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:83: warning: Port declaration of i_cpsr_ff_f inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:82: warning: Port declaration of i_cpsr_ff_i inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:73: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:62: warning: Port declaration of i_force32align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:87: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:72: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:53: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:69: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:59: warning: Port declaration of i_thumb_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:168: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:167: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:124: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:174: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:166: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:135: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:139: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:147: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:150: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:136: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:156: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:144: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:153: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:170: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:163: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:169: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:94: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:103: warning: Port declaration of o_flag_update inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:105: warning: Port declaration of o_mem_load inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:107: warning: Port declaration of o_mem_pre_index inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:109: warning: Port declaration of o_mem_signed_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:110: warning: Port declaration of o_mem_signed_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:106: warning: Port declaration of o_mem_store inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:112: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:108: warning: Port declaration of o_mem_unsigned_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:111: warning: Port declaration of o_mem_unsigned_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:114: warning: Port declaration of o_switch inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:113: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:104: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:147: warning: Port declaration of i_alu_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:146: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:173: warning: Port declaration of i_alu_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:58: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:103: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:90: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:114: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:102: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:93: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:95: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:97: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:98: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:94: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:100: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:96: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:99: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:148: warning: Port declaration of i_memory_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:174: warning: Port declaration of i_memory_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:59: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:172: warning: Port declaration of i_shifter_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:181: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:118: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:211: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:210: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:195: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:115: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:209: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:199: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:201: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:203: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:204: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:200: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:206: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:202: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:205: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:249: warning: Port declaration of o_shifter_disable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:238: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:212: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:182: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:119: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:88: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:93: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:61: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:59: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:47: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:60: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:109: warning: Port declaration of i_disable_shifter_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:87: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:74: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:119: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:86: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:77: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:79: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:81: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:82: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:78: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:84: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:80: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:83: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:48: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:89: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:123: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:112: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:145: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:144: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:163: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:120: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:143: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:150: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:152: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:154: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:155: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:151: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:157: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:153: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:156: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:135: warning: Port declaration of o_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:134: warning: Port declaration of o_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:166: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:146: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:124: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:113: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:50: warning: Port declaration of i_cc_satisfied inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:44: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:42: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:38: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:43: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:39: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:63: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:64: warning: Port declaration of o_nozero inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:38: warning: Port declaration of i_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:45: warning: Port declaration of o_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:102: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:76: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:69: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:107: warning: Port declaration of i_data_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:77: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:104: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:132: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:123: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:59: warning: Port declaration of i_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:62: warning: Port declaration of i_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:103: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:115: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:117: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:119: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:120: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:116: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:122: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:118: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:121: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:87: warning: Port declaration of i_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:70: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:95: warning: Port declaration of i_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:84: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:106: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:156: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:167: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:169: warning: Port declaration of o_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:198: warning: Port declaration of o_data_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:193: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:199: warning: Port declaration of o_data_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:194: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:197: warning: Port declaration of o_data_wb_we_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:192: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:146: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:147: warning: Port declaration of o_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:158: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:157: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:176: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:180: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:181: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:177: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:184: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:179: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:182: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:159: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:160: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:50: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:46: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:65: warning: Port declaration of i_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:75: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:76: warning: Port declaration of i_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:74: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:61: warning: Port declaration of i_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:54: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:47: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:87: warning: Port declaration of i_sbyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:89: warning: Port declaration of i_shalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:77: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:88: warning: Port declaration of i_ubyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:90: warning: Port declaration of i_uhalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:93: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:107: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:114: warning: Port declaration of o_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:62: warning: Port declaration of o_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:117: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:113: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:94: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:52: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:57: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:50: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:90: warning: Port declaration of i_copro_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:81: warning: Port declaration of i_data_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:79: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:80: warning: Port declaration of i_instr_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:78: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:67: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:54: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:55: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:56: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:82: warning: Port declaration of i_swi inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:73: warning: Port declaration of i_thumb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:83: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:45: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:113: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:119: warning: Port declaration of o_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:118: warning: Port declaration of o_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:36: warning: Port declaration of o_shelve inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:33: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:37: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:50: warning: Port declaration of i_cp_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:122: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:118: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:123: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:119: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:53: warning: Port declaration of o_cp_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:106: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:114: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:102: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:110: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:107: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:115: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:103: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:111: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:94: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:60: warning: Port declaration of o_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:39: warning: Port declaration of i_c_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:38: warning: Port declaration of i_c_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:40: warning: Port declaration of i_c_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:49: warning: Port declaration of i_d_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:48: warning: Port declaration of i_d_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:50: warning: Port declaration of i_d_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:65: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:45: warning: Port declaration of o_c_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:55: warning: Port declaration of o_d_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:58: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:59: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:60: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:39: warning: Port declaration of I_WB_CYC inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:40: warning: Port declaration of I_WB_STB inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:45: warning: Port declaration of I_WB_WE inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:47: warning: Port declaration of O_WB_ACK inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:58: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:50: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:51: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:56: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:178: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:176: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:175: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:178: warning: Port declaration of wb_ack_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:174: warning: Port declaration of we_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:262: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:315: warning: Port declaration of int_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:261: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:258: warning: Port declaration of srx_pad_i inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:257: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_transmitter.v:157: warning: Port declaration of tf_push inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_tfifo.v:180: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:213: warning: Port declaration of rf_error_bit inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:212: warning: Port declaration of rf_overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:203: warning: Port declaration of rf_pop inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:215: warning: Port declaration of rf_push_pulse inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_rfifo.v:191: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:30: warning: Port declaration of o_wb_ack inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:31: warning: Port declaration of o_wb_ack2 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ ls
Config.cfg Description.txt make makefile uart.c uart.ld uart.s
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ make
bash ../../scripts/check_arc.sh
amd64
Machine is AMD64. Checking if IA32 support is present...
i386
Found IA32 support.
mkdir -p ../../../obj/ts/uart/
touch ../../../obj/ts/uart/
perl ../../scripts//run_sim.pl +test+uart
*I: Rand is 2296071743...
iverilog -I../../..//src/rtl/cpu -I../../..//obj/ts/uart -I../../..//src/testbench/cpu/uart16550/rtl ../../..//src/testbench/cpu/uart16550/rtl/*.v ../../..//src/rtl/*/*.v ../../..//src/testbench/cpu/*.v -o ../../..//obj/ts/uart/zap.vvp -gstrict-ca-eval -Wall -g2001 -Winfloop -DSEED=2296071743 -DMEMORY_IMAGE=\"../../..//obj/ts/uart/zap_mem.v\" -DVCD_FILE_PATH=\"../../..//obj/ts/uart/zap.vcd\" -DUART_FILE_PATH=\"../../..//obj/ts/uart/zapuart.fifo\" -Pzap_test.RAM_SIZE=32768 -Pzap_test.START=2000 -Pzap_test.COUNT=200 -DLINUX -Pzap_test.STORE_BUFFER_DEPTH=16 -Pzap_test.BP_ENTRIES=1024 -Pzap_test.FIFO_DEPTH=4 -Pzap_test.DATA_SECTION_TLB_ENTRIES=8 -Pzap_test.DATA_LPAGE_TLB_ENTRIES=16 -Pzap_test.DATA_SPAGE_TLB_ENTRIES=32 -Pzap_test.DATA_CACHE_SIZE=4096 -Pzap_test.CODE_SECTION_TLB_ENTRIES=8 -Pzap_test.CODE_LPAGE_TLB_ENTRIES=16 -Pzap_test.CODE_SPAGE_TLB_ENTRIES=32 -Pzap_test.CODE_CACHE_SIZE=4096 -DMAX_CLOCK_CYCLES=100000 -DSTALL
mknod: missing operand after ‘u’
Special files require major and minor device numbers.
Try 'mknod --help' for more information.
Setting up UART output monitor../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_overrun'.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_push_pulse'.
../../..//src/rtl/cpu/zap_top.v:57: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:65: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:64: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:60: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:77: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:70: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:71: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:73: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:41: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:49: warning: Port declaration of i_data_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:50: warning: Port declaration of i_data_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:104: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:102: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:67: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:105: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:103: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:76: warning: Port declaration of i_instr_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:77: warning: Port declaration of i_instr_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:68: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:111: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:115: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:110: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:46: warning: Port declaration of o_data_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:57: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:47: warning: Port declaration of o_data_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:58: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:45: warning: Port declaration of o_data_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:56: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:96: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:100: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:94: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:98: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:97: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:101: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:95: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:72: warning: Port declaration of o_instr_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:73: warning: Port declaration of o_instr_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:82: warning: Port declaration of o_instr_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:74: warning: Port declaration of o_instr_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:99: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:64: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:92: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:114: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:113: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:112: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:50: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:54: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:48: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:47: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:77: warning: Port declaration of i_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:60: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:49: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:66: warning: Port declaration of i_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:53: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:52: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:51: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:65: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:72: warning: Port declaration of o_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:71: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:35: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:39: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:33: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:28: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:34: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:29: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:38: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:37: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:36: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:42: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:31: warning: Port declaration of i_write_inhibit inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:45: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:41: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:45: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:39: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:64: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:40: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:57: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:60: warning: Port declaration of i_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:53: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:56: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:44: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:43: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:42: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:87: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:79: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:61: warning: Port declaration of o_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:77: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:86: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:78: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:46: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:43: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:39: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:42: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:61: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:57: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:55: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:60: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:56: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:79: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:72: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:70: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:61: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:85: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:92: warning: Port declaration of i_cpu_mode_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:71: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:78: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:66: warning: Port declaration of i_force32 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:97: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:77: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:82: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:62: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:74: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:73: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:67: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:113: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:127: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:120: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:117: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:101: warning: Port declaration of o_instruction_valid_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:104: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:114: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:49: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:47: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:31: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:58: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:39: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:48: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:44: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:43: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:55: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:32: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:51: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:50: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:36: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:73: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:62: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:61: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:70: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:66: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:62: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:60: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:45: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:57: warning: Port declaration of i_cpsr_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:61: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:54: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:50: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:53: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:64: warning: Port declaration of i_issue_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:46: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:63: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:75: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:68: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:74: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:71: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:74: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:52: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:83: warning: Port declaration of i_cpsr_ff_f inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:82: warning: Port declaration of i_cpsr_ff_i inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:73: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:62: warning: Port declaration of i_force32align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:87: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:72: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:53: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:69: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:59: warning: Port declaration of i_thumb_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:168: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:167: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:124: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:174: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:166: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:135: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:139: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:147: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:150: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:136: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:156: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:144: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:153: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:170: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:163: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:169: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:94: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:103: warning: Port declaration of o_flag_update inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:105: warning: Port declaration of o_mem_load inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:107: warning: Port declaration of o_mem_pre_index inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:109: warning: Port declaration of o_mem_signed_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:110: warning: Port declaration of o_mem_signed_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:106: warning: Port declaration of o_mem_store inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:112: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:108: warning: Port declaration of o_mem_unsigned_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:111: warning: Port declaration of o_mem_unsigned_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:114: warning: Port declaration of o_switch inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:113: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:104: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:147: warning: Port declaration of i_alu_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:146: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:173: warning: Port declaration of i_alu_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:58: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:103: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:90: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:114: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:102: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:93: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:95: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:97: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:98: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:94: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:100: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:96: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:99: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:148: warning: Port declaration of i_memory_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:174: warning: Port declaration of i_memory_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:59: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:172: warning: Port declaration of i_shifter_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:181: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:118: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:211: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:210: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:195: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:115: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:209: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:199: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:201: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:203: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:204: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:200: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:206: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:202: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:205: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:249: warning: Port declaration of o_shifter_disable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:238: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:212: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:182: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:119: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:88: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:93: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:61: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:59: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:47: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:60: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:109: warning: Port declaration of i_disable_shifter_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:87: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:74: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:119: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:86: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:77: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:79: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:81: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:82: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:78: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:84: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:80: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:83: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:48: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:89: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:123: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:112: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:145: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:144: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:163: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:120: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:143: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:150: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:152: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:154: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:155: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:151: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:157: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:153: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:156: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:135: warning: Port declaration of o_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:134: warning: Port declaration of o_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:166: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:146: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:124: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:113: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:50: warning: Port declaration of i_cc_satisfied inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:44: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:42: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:38: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:43: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:39: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:63: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:64: warning: Port declaration of o_nozero inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:38: warning: Port declaration of i_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:45: warning: Port declaration of o_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:102: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:76: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:69: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:107: warning: Port declaration of i_data_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:77: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:104: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:132: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:123: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:59: warning: Port declaration of i_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:62: warning: Port declaration of i_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:103: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:115: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:117: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:119: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:120: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:116: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:122: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:118: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:121: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:87: warning: Port declaration of i_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:70: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:95: warning: Port declaration of i_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:84: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:106: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:156: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:167: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:169: warning: Port declaration of o_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:198: warning: Port declaration of o_data_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:193: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:199: warning: Port declaration of o_data_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:194: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:197: warning: Port declaration of o_data_wb_we_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:192: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:146: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:147: warning: Port declaration of o_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:158: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:157: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:176: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:180: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:181: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:177: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:184: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:179: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:182: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:159: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:160: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:50: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:46: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:65: warning: Port declaration of i_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:75: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:76: warning: Port declaration of i_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:74: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:61: warning: Port declaration of i_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:54: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:47: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:87: warning: Port declaration of i_sbyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:89: warning: Port declaration of i_shalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:77: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:88: warning: Port declaration of i_ubyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:90: warning: Port declaration of i_uhalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:93: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:107: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:114: warning: Port declaration of o_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:62: warning: Port declaration of o_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:117: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:113: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:94: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:52: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:57: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:50: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:90: warning: Port declaration of i_copro_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:81: warning: Port declaration of i_data_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:79: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:80: warning: Port declaration of i_instr_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:78: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:67: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:54: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:55: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:56: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:82: warning: Port declaration of i_swi inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:73: warning: Port declaration of i_thumb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:83: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:45: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:113: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:119: warning: Port declaration of o_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:118: warning: Port declaration of o_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:36: warning: Port declaration of o_shelve inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:33: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:37: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:50: warning: Port declaration of i_cp_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:122: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:118: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:123: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:119: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:53: warning: Port declaration of o_cp_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:106: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:114: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:102: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:110: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:107: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:115: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:103: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:111: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:94: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:60: warning: Port declaration of o_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:39: warning: Port declaration of i_c_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:38: warning: Port declaration of i_c_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:40: warning: Port declaration of i_c_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:49: warning: Port declaration of i_d_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:48: warning: Port declaration of i_d_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:50: warning: Port declaration of i_d_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:65: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:45: warning: Port declaration of o_c_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:55: warning: Port declaration of o_d_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:58: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:59: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:60: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:39: warning: Port declaration of I_WB_CYC inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:40: warning: Port declaration of I_WB_STB inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:45: warning: Port declaration of I_WB_WE inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:47: warning: Port declaration of O_WB_ACK inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:58: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:50: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:51: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:56: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:178: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:176: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:175: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:178: warning: Port declaration of wb_ack_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:174: warning: Port declaration of we_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:262: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:315: warning: Port declaration of int_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:261: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:258: warning: Port declaration of srx_pad_i inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:257: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_transmitter.v:157: warning: Port declaration of tf_push inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_tfifo.v:180: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:213: warning: Port declaration of rf_error_bit inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:212: warning: Port declaration of rf_overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:203: warning: Port declaration of rf_pop inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:215: warning: Port declaration of rf_push_pulse inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_rfifo.v:191: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:30: warning: Port declaration of o_wb_ack inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:31: warning: Port declaration of o_wb_ack2 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:321: warning: @* is sensitive to all 256 words in array 'dat_ram'.
../../..//src/rtl/cpu/zap_cache.v:334: warning: @* is sensitive to all 3 words in array 'wb_adr'.
../../..//src/rtl/cpu/zap_cache.v:335: warning: @* is sensitive to all 3 words in array 'wb_dat'.
../../..//src/rtl/cpu/zap_cache.v:336: warning: @* is sensitive to all 3 words in array 'wb_cti'.
../../..//src/rtl/cpu/zap_cache.v:337: warning: @* is sensitive to all 3 words in array 'wb_sel'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:321: warning: @* is sensitive to all 256 words in array 'dat_ram'.
../../..//src/rtl/cpu/zap_cache.v:334: warning: @* is sensitive to all 3 words in array 'wb_adr'.
../../..//src/rtl/cpu/zap_cache.v:335: warning: @* is sensitive to all 3 words in array 'wb_dat'.
../../..//src/rtl/cpu/zap_cache.v:336: warning: @* is sensitive to all 3 words in array 'wb_cti'.
../../..//src/rtl/cpu/zap_cache.v:337: warning: @* is sensitive to all 3 words in array 'wb_sel'.
../../..//src/testbench/cpu/zap_tb.v:164: warning: Port 3 (wb_adr_i) of uart_top expects 5 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:164: : Pruning 27 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 8 (i_wb_cyc2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 9 (i_wb_stb2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 12 (i_wb_sel2) of model_ram_dual expects 4 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 28 high bits of the expression.
../../..//src/testbench/cpu/zap_tb.v:304: warning: Port 13 (i_wb_we2) of model_ram_dual expects 1 bits, got 32.
../../..//src/testbench/cpu/zap_tb.v:304: : Pruning (signed) 31 high bits of the expression.
^C*E: VVP execution error!
../../scripts/makefile:55: recipe for target 'all' failed
make: *** [all] Error 25
 
]0;krevanth@debianEDA: ~/ZAP/src/ts/uartkrevanth@debianEDA:~/ZAP/src/ts/uart$ make
bash ../../scripts/check_arc.sh
amd64
Machine is AMD64. Checking if IA32 support is present...
i386
Found IA32 support.
mkdir -p ../../../obj/ts/uart/
touch ../../../obj/ts/uart/
perl ../../scripts//run_sim.pl +test+uart
*I: Rand is 2408076136...
iverilog -I../../..//src/rtl/cpu -I../../..//obj/ts/uart -I../../..//src/testbench/cpu/uart16550/rtl ../../..//src/testbench/cpu/uart16550/rtl/*.v ../../..//src/rtl/*/*.v ../../..//src/testbench/cpu/*.v -o ../../..//obj/ts/uart/zap.vvp -gstrict-ca-eval -Wall -g2001 -Winfloop -DSEED=2408076136 -DMEMORY_IMAGE=\"../../..//obj/ts/uart/zap_mem.v\" -DVCD_FILE_PATH=\"../../..//obj/ts/uart/zap.vcd\" -DUART_FILE_PATH=\"../../..//obj/ts/uart/zapuart.fifo\" -Pzap_test.RAM_SIZE=32768 -Pzap_test.START=2000 -Pzap_test.COUNT=200 -DLINUX -Pzap_test.STORE_BUFFER_DEPTH=16 -Pzap_test.BP_ENTRIES=1024 -Pzap_test.FIFO_DEPTH=4 -Pzap_test.DATA_SECTION_TLB_ENTRIES=8 -Pzap_test.DATA_LPAGE_TLB_ENTRIES=16 -Pzap_test.DATA_SPAGE_TLB_ENTRIES=32 -Pzap_test.DATA_CACHE_SIZE=4096 -Pzap_test.CODE_SECTION_TLB_ENTRIES=8 -Pzap_test.CODE_LPAGE_TLB_ENTRIES=16 -Pzap_test.CODE_SPAGE_TLB_ENTRIES=32 -Pzap_test.CODE_CACHE_SIZE=4096 -DMAX_CLOCK_CYCLES=100000 -DSTALL
Setting up UART output monitor../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_overrun'.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:399: warning: implicit definition of wire 'rf_push_pulse'.
../../..//src/rtl/cpu/zap_top.v:57: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:65: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:64: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:60: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:77: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:70: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:71: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_top.v:73: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:41: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:49: warning: Port declaration of i_data_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:50: warning: Port declaration of i_data_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:104: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:102: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:67: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:105: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:103: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:76: warning: Port declaration of i_instr_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:77: warning: Port declaration of i_instr_wb_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:68: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:111: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:115: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:110: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:46: warning: Port declaration of o_data_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:57: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:47: warning: Port declaration of o_data_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:58: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:45: warning: Port declaration of o_data_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:56: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:96: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:100: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:94: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:98: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:97: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:101: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:95: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:72: warning: Port declaration of o_instr_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:73: warning: Port declaration of o_instr_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:82: warning: Port declaration of o_instr_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:74: warning: Port declaration of o_instr_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:99: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:64: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:92: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:114: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:113: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_core.v:112: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:50: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:54: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:48: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:47: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:77: warning: Port declaration of i_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:60: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:49: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:66: warning: Port declaration of i_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:53: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:52: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:51: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:65: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:72: warning: Port declaration of o_instr_abort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fetch_main.v:71: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:35: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:39: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:33: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:28: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:34: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:29: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:38: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:37: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:36: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:42: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:31: warning: Port declaration of i_write_inhibit inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:45: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_fifo.v:47: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:41: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:45: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:39: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:64: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:40: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:57: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:60: warning: Port declaration of i_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:53: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:56: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:44: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:43: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:42: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:87: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:79: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:61: warning: Port declaration of o_iabort inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:77: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:86: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_thumb_decoder.v:78: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:46: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:43: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:39: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:42: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:61: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:57: warning: Port declaration of o_force32_align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:55: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:60: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_compress.v:56: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:79: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:72: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:70: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:61: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:85: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:92: warning: Port declaration of i_cpu_mode_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:71: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:78: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:66: warning: Port declaration of i_force32 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:97: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:77: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:82: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:62: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:74: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:73: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:67: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:113: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:127: warning: Port declaration of o_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:120: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:117: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:101: warning: Port declaration of o_instruction_valid_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:104: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_main.v:114: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:49: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:47: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:31: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:58: warning: Port declaration of i_copro_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:39: warning: Port declaration of i_cpsr_ff_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:48: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:44: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:43: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:55: warning: Port declaration of i_pipeline_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:32: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:51: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:50: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:36: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:73: warning: Port declaration of o_copro_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:62: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:61: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:70: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_coproc.v:66: warning: Port declaration of o_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:62: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:60: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:45: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:57: warning: Port declaration of i_cpsr_t inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:61: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:54: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:50: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:53: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:64: warning: Port declaration of i_issue_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:46: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:63: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:75: warning: Port declaration of o_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:68: warning: Port declaration of o_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:74: warning: Port declaration of o_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_predecode_mem_fsm.v:71: warning: Port declaration of o_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:74: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:52: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:83: warning: Port declaration of i_cpsr_ff_f inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:82: warning: Port declaration of i_cpsr_ff_i inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:73: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:62: warning: Port declaration of i_force32align inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:87: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:72: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:53: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:69: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:59: warning: Port declaration of i_thumb_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:168: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:167: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:124: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:174: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:166: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:135: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:139: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:147: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:150: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:136: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:156: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:144: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:153: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:170: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:163: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode_main.v:169: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:94: warning: Port declaration of i_instruction_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:92: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:103: warning: Port declaration of o_flag_update inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:105: warning: Port declaration of o_mem_load inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:107: warning: Port declaration of o_mem_pre_index inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:109: warning: Port declaration of o_mem_signed_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:110: warning: Port declaration of o_mem_signed_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:106: warning: Port declaration of o_mem_store inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:112: warning: Port declaration of o_mem_translate inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:108: warning: Port declaration of o_mem_unsigned_byte_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:111: warning: Port declaration of o_mem_unsigned_halfword_enable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:114: warning: Port declaration of o_switch inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decode.v:113: warning: Port declaration of o_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_decompile.v:35: warning: Port declaration of i_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:104: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:147: warning: Port declaration of i_alu_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:146: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:173: warning: Port declaration of i_alu_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:67: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:65: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:58: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:66: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:103: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:90: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:114: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:102: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:93: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:95: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:97: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:98: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:94: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:100: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:96: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:99: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:148: warning: Port declaration of i_memory_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:174: warning: Port declaration of i_memory_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:59: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:172: warning: Port declaration of i_shifter_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:68: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:181: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:118: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:211: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:210: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:195: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:115: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:209: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:199: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:201: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:203: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:204: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:200: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:206: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:202: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:205: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:249: warning: Port declaration of o_shifter_disable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:238: warning: Port declaration of o_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:212: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:182: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_issue_main.v:119: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:88: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:93: warning: Port declaration of i_alu_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:61: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:59: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:47: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:60: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:109: warning: Port declaration of i_disable_shifter_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:87: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:74: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:119: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:86: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:77: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:79: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:81: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:82: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:78: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:84: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:80: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:83: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:48: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:89: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:123: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:112: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:145: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:144: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:163: warning: Port declaration of o_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:120: warning: Port declaration of o_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:143: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:150: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:152: warning: Port declaration of o_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:154: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:155: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:151: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:157: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:153: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:156: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:135: warning: Port declaration of o_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:134: warning: Port declaration of o_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:166: warning: Port declaration of o_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:146: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:124: warning: Port declaration of o_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_main.v:113: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:50: warning: Port declaration of i_cc_satisfied inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:44: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:42: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:38: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:43: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:39: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:63: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shifter_multiply.v:64: warning: Port declaration of o_nozero inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:38: warning: Port declaration of i_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_shift_shifter.v:45: warning: Port declaration of o_carry inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:102: warning: Port declaration of i_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:76: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:69: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:107: warning: Port declaration of i_data_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:77: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:104: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:132: warning: Port declaration of i_flag_update_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:123: warning: Port declaration of i_force32align_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:59: warning: Port declaration of i_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:62: warning: Port declaration of i_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:103: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:115: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:117: warning: Port declaration of i_mem_pre_index_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:119: warning: Port declaration of i_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:120: warning: Port declaration of i_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:116: warning: Port declaration of i_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:122: warning: Port declaration of i_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:118: warning: Port declaration of i_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:121: warning: Port declaration of i_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:87: warning: Port declaration of i_nozero_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:70: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:95: warning: Port declaration of i_shift_carry_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:105: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:84: warning: Port declaration of i_switch_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:106: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:156: warning: Port declaration of o_abt_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:167: warning: Port declaration of o_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:169: warning: Port declaration of o_confirm_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:198: warning: Port declaration of o_data_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:193: warning: Port declaration of o_data_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:199: warning: Port declaration of o_data_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:194: warning: Port declaration of o_data_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:197: warning: Port declaration of o_data_wb_we_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:192: warning: Port declaration of o_data_wb_we_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:146: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:147: warning: Port declaration of o_dav_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:158: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:157: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:176: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:180: warning: Port declaration of o_mem_signed_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:181: warning: Port declaration of o_mem_signed_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:177: warning: Port declaration of o_mem_store_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:184: warning: Port declaration of o_mem_translate_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:179: warning: Port declaration of o_mem_unsigned_byte_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:182: warning: Port declaration of o_mem_unsigned_halfword_enable_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:159: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_alu_main.v:160: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:50: warning: Port declaration of i_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:46: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:65: warning: Port declaration of i_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:75: warning: Port declaration of i_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:76: warning: Port declaration of i_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:74: warning: Port declaration of i_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:61: warning: Port declaration of i_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:54: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:47: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:87: warning: Port declaration of i_sbyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:89: warning: Port declaration of i_shalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:77: warning: Port declaration of i_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:88: warning: Port declaration of i_ubyte_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:90: warning: Port declaration of i_uhalf_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:93: warning: Port declaration of i_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:107: warning: Port declaration of o_dav_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:112: warning: Port declaration of o_fiq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:114: warning: Port declaration of o_instr_abort_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:111: warning: Port declaration of o_irq_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:62: warning: Port declaration of o_mem_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:117: warning: Port declaration of o_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:113: warning: Port declaration of o_swi_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_memory_main.v:94: warning: Port declaration of o_und_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:52: warning: Port declaration of i_clear_from_alu inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:57: warning: Port declaration of i_clear_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:50: warning: Port declaration of i_code_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:90: warning: Port declaration of i_copro_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:81: warning: Port declaration of i_data_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:51: warning: Port declaration of i_data_stall inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:79: warning: Port declaration of i_fiq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:80: warning: Port declaration of i_instr_abt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:78: warning: Port declaration of i_irq inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:67: warning: Port declaration of i_mem_load_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:42: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:54: warning: Port declaration of i_stall_from_decode inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:55: warning: Port declaration of i_stall_from_issue inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:56: warning: Port declaration of i_stall_from_shifter inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:82: warning: Port declaration of i_swi inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:73: warning: Port declaration of i_thumb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:83: warning: Port declaration of i_und inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:45: warning: Port declaration of i_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:113: warning: Port declaration of o_clear_from_writeback inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:119: warning: Port declaration of o_hijack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:118: warning: Port declaration of o_hijack_cin inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_writeback.v:36: warning: Port declaration of o_shelve inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:33: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:37: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:50: warning: Port declaration of i_cp_dav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:122: warning: Port declaration of i_dcache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:118: warning: Port declaration of i_dcache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:123: warning: Port declaration of i_icache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:119: warning: Port declaration of i_icache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:53: warning: Port declaration of o_cp_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:106: warning: Port declaration of o_dcache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:114: warning: Port declaration of o_dcache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:102: warning: Port declaration of o_dcache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:110: warning: Port declaration of o_dtlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:107: warning: Port declaration of o_icache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:115: warning: Port declaration of o_icache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:103: warning: Port declaration of o_icache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:111: warning: Port declaration of o_itlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:94: warning: Port declaration of o_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cp15_cb.v:60: warning: Port declaration of o_reg_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:64: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:62: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:63: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:61: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:50: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:71: warning: Port declaration of i_tlb_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:82: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:51: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:55: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:66: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:65: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:56: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:75: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:74: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache.v:76: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:87: warning: Port declaration of i_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:57: warning: Port declaration of i_cache_clean inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:76: warning: Port declaration of i_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:55: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:56: warning: Port declaration of i_cache_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:79: warning: Port declaration of i_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:64: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:66: warning: Port declaration of i_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:86: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:85: warning: Port declaration of i_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:44: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:97: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:45: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:49: warning: Port declaration of o_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:59: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:75: warning: Port declaration of o_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:58: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:78: warning: Port declaration of o_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:69: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:70: warning: Port declaration of o_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:50: warning: Port declaration of o_err inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:90: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:91: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_fsm.v:95: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:103: warning: Port declaration of i_cache_clean_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:89: warning: Port declaration of i_cache_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:106: warning: Port declaration of i_cache_inv_req inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:97: warning: Port declaration of i_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:95: warning: Port declaration of i_cache_tag_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:83: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:84: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:118: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:104: warning: Port declaration of o_cache_clean_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:107: warning: Port declaration of o_cache_inv_done inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:101: warning: Port declaration of o_cache_tag_dirty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:100: warning: Port declaration of o_cache_tag_valid inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:110: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:111: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_ff inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_cache_tag_ram.v:115: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:39: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:56: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:55: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:45: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:40: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:74: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:46: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:64: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:63: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:68: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:67: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb.v:70: warning: Port declaration of o_wb_wen_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:35: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:46: warning: Port declaration of i_inv inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:43: warning: Port declaration of i_ren inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:36: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:42: warning: Port declaration of i_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_mem_inv_block.v:54: warning: Port declaration of o_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:36: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:40: warning: Port declaration of i_rd_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_ram_simple.v:39: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:81: warning: Port declaration of i_lptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:67: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:70: warning: Port declaration of i_rd inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:84: warning: Port declaration of i_setlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:78: warning: Port declaration of i_sptlb_rdav inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:71: warning: Port declaration of i_wr inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:89: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_check.v:86: warning: Port declaration of o_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:56: warning: Port declaration of i_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:42: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:46: warning: Port declaration of i_mmu_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:43: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:53: warning: Port declaration of i_walk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:87: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:65: warning: Port declaration of o_busy inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:64: warning: Port declaration of o_cacheable inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:62: warning: Port declaration of o_fault inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:73: warning: Port declaration of o_lptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:69: warning: Port declaration of o_setlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:71: warning: Port declaration of o_sptlb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:90: warning: Port declaration of o_unused_ok inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:81: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:76: warning: Port declaration of o_wb_cyc_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:82: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:77: warning: Port declaration of o_wb_stb_nxt inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_tlb_fsm.v:83: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:39: warning: Port declaration of i_c_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:38: warning: Port declaration of i_c_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:40: warning: Port declaration of i_c_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:49: warning: Port declaration of i_d_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:48: warning: Port declaration of i_d_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:50: warning: Port declaration of i_d_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:65: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:45: warning: Port declaration of o_c_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:55: warning: Port declaration of o_d_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:58: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:59: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_merger.v:60: warning: Port declaration of o_wb_wen inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:39: warning: Port declaration of I_WB_CYC inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:40: warning: Port declaration of I_WB_STB inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:45: warning: Port declaration of I_WB_WE inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:47: warning: Port declaration of O_WB_ACK inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:34: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:35: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:58: warning: Port declaration of i_wb_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:50: warning: Port declaration of o_wb_cyc inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:51: warning: Port declaration of o_wb_stb inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_wb_adapter.v:56: warning: Port declaration of o_wb_we inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:32: warning: Port declaration of i_ack inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:29: warning: Port declaration of i_clk inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:30: warning: Port declaration of i_reset inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:33: warning: Port declaration of i_wr_en inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:38: warning: Port declaration of o_empty inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:40: warning: Port declaration of o_empty_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:39: warning: Port declaration of o_full inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:41: warning: Port declaration of o_full_n inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_sync_fifo.v:42: warning: Port declaration of o_full_n_nxt inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:178: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:176: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_top.v:175: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:178: warning: Port declaration of wb_ack_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_wb.v:174: warning: Port declaration of we_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:262: warning: Port declaration of dtr_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:315: warning: Port declaration of int_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:261: warning: Port declaration of rts_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:258: warning: Port declaration of srx_pad_i inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_regs.v:257: warning: Port declaration of stx_pad_o inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_transmitter.v:157: warning: Port declaration of tf_push inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_tfifo.v:180: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:213: warning: Port declaration of rf_error_bit inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:212: warning: Port declaration of rf_overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:203: warning: Port declaration of rf_pop inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_receiver.v:215: warning: Port declaration of rf_push_pulse inherits dimensions from var/net.
../../..//src/testbench/cpu/uart16550/rtl/uart_rfifo.v:191: warning: Port declaration of overrun inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:30: warning: Port declaration of o_wb_ack inherits dimensions from var/net.
../../..//src/testbench/cpu/zap_ram_tb.v:31: warning: Port declaration of o_wb_ack2 inherits dimensions from var/net.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:140: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:141: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:142: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'MEM'.
../../..//src/rtl/cpu/zap_register_file.v:143: warning: @* is sensitive to all 40 words in array 'mem'.
../../..//src/rtl/cpu/zap_cache_fsm.v:199: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:200: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:201: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:202: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:379: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:384: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:385: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:386: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:387: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_nxt'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/zap_cache_fsm.v:401: warning: @* is sensitive to all 4 words in array 'buf_ff'.
../../..//src/rtl/cpu/
/zap/trunk/src/ts/uart/uart.c
25,7 → 25,11
 
void UARTWrite(char* s)
{
for(int i=0;i<strlen(s);i++)
int len;
 
len = strlen(s);
 
for(int i=0;i<len;i++)
{
UARTWriteByte(s[i]);
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.