OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [fmul32.vhd] - Diff between revs 152 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 152 Rev 153
Line 31... Line 31...
                clk             : in std_logic;
                clk             : in std_logic;
                a32,b32         : in std_logic_vector(31 downto 0);
                a32,b32         : in std_logic_vector(31 downto 0);
                p32                     : out std_logic_vector(31 downto 0)
                p32                     : out std_logic_vector(31 downto 0)
 
 
        );
        );
end fmul32;
end entity;
architecture fmul32_arch of fmul32 is
architecture fmul32_arch of fmul32 is
 
 
 
 
        component lpm_mult
        component lpm_mult
        generic (
        generic (
Line 146... Line 146...
 
 
 
 
 
 
 
 
 
 
end fmul32_arch;
 
 No newline at end of file
 No newline at end of file
 
end architecture;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.