OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [env_top.v] - Diff between revs 9 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 11
Line 10... Line 10...
      forever clk = #4 ~clk;
      forever clk = #4 ~clk;
    end
    end
 
 
  initial
  initial
    begin
    begin
 
`ifdef VCS
 
      $vcdpluson;
 
`else
      $dumpfile ("env_top.vcd");
      $dumpfile ("env_top.vcd");
      $dumpvars;
      $dumpvars;
 
`endif
      reset = 1;
      reset = 1;
      #200;
      #200;
      reset = 0;
      reset = 0;
      #200;
      #200;
 
 
Line 45... Line 49...
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
  wire                  gmii_rx_dv_3;           // From driver3 of gmii_driver.v
  wire [7:0]             gmii_rxd_0;             // From driver0 of gmii_driver.v
  wire [7:0]             gmii_rxd_0;             // From driver0 of gmii_driver.v
  wire [7:0]             gmii_rxd_1;             // From driver1 of gmii_driver.v
  wire [7:0]             gmii_rxd_1;             // From driver1 of gmii_driver.v
  wire [7:0]             gmii_rxd_2;             // From driver2 of gmii_driver.v
  wire [7:0]             gmii_rxd_2;             // From driver2 of gmii_driver.v
  wire [7:0]             gmii_rxd_3;             // From driver3 of gmii_driver.v
  wire [7:0]             gmii_rxd_3;             // From driver3 of gmii_driver.v
  wire                  gmii_tx_dv_0;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_en_0;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_dv_1;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_en_1;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_dv_2;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_en_2;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_dv_3;           // From bridge of bridge_ex1.v
  wire                  gmii_tx_en_3;           // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_0;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_0;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_1;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_1;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_2;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_2;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_3;             // From bridge of bridge_ex1.v
  wire [7:0]             gmii_txd_3;             // From bridge of bridge_ex1.v
  // End of automatics
  // End of automatics
Line 91... Line 95...
     .rx_clk                            (gmii_rx_clk_3));        // Templated
     .rx_clk                            (gmii_rx_clk_3));        // Templated
 
 
  bridge_ex1 bridge
  bridge_ex1 bridge
    (/*AUTOINST*/
    (/*AUTOINST*/
     // Outputs
     // Outputs
     .gmii_tx_dv_0                      (gmii_tx_dv_0),
     .gmii_tx_en_0                      (gmii_tx_en_0),
     .gmii_tx_dv_1                      (gmii_tx_dv_1),
     .gmii_tx_en_1                      (gmii_tx_en_1),
     .gmii_tx_dv_2                      (gmii_tx_dv_2),
     .gmii_tx_en_2                      (gmii_tx_en_2),
     .gmii_tx_dv_3                      (gmii_tx_dv_3),
     .gmii_tx_en_3                      (gmii_tx_en_3),
     .gmii_txd_0                        (gmii_txd_0[7:0]),
     .gmii_txd_0                        (gmii_txd_0[7:0]),
     .gmii_txd_1                        (gmii_txd_1[7:0]),
     .gmii_txd_1                        (gmii_txd_1[7:0]),
     .gmii_txd_2                        (gmii_txd_2[7:0]),
     .gmii_txd_2                        (gmii_txd_2[7:0]),
     .gmii_txd_3                        (gmii_txd_3[7:0]),
     .gmii_txd_3                        (gmii_txd_3[7:0]),
     // Inputs
     // Inputs
Line 115... Line 119...
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
     .gmii_rxd_0                        (gmii_rxd_0[7:0]),
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
     .gmii_rxd_1                        (gmii_rxd_1[7:0]),
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
     .gmii_rxd_2                        (gmii_rxd_2[7:0]),
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
     .gmii_rxd_3                        (gmii_rxd_3[7:0]));
 
 
 
  /* gmii_monitor AUTO_TEMPLATE
 
   (
 
     .clk                               (clk),
 
     .\(.*\)  (\1_@[]),
 
   );
 
   */
 
  gmii_monitor mon0
 
    (/*AUTOINST*/
 
     // Inputs
 
     .clk                               (clk),                   // Templated
 
     .gmii_tx_en                        (gmii_tx_en_0),          // Templated
 
     .gmii_txd                          (gmii_txd_0[7:0]));       // Templated
 
 
 
  gmii_monitor mon1
 
    (/*AUTOINST*/
 
     // Inputs
 
     .clk                               (clk),                   // Templated
 
     .gmii_tx_en                        (gmii_tx_en_1),          // Templated
 
     .gmii_txd                          (gmii_txd_1[7:0]));       // Templated
 
 
 
  gmii_monitor mon2
 
    (/*AUTOINST*/
 
     // Inputs
 
     .clk                               (clk),                   // Templated
 
     .gmii_tx_en                        (gmii_tx_en_2),          // Templated
 
     .gmii_txd                          (gmii_txd_2[7:0]));       // Templated
 
 
 
  gmii_monitor mon3
 
    (/*AUTOINST*/
 
     // Inputs
 
     .clk                               (clk),                   // Templated
 
     .gmii_tx_en                        (gmii_tx_en_3),          // Templated
 
     .gmii_txd                          (gmii_txd_3[7:0]));       // Templated
 
 
endmodule // env_top
endmodule // env_top
// Local Variables:
// Local Variables:
// verilog-library-directories:("." "../rtl")
// verilog-library-directories:("." "../rtl")
// End:  
// End:  
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.