OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [testbench/] [registerfile_tb.vhd] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 10... Line 10...
-- Component Declaration for the Unit Under Test (UUT)
-- Component Declaration for the Unit Under Test (UUT)
 
 
  component registerfile
  component registerfile
    port(
    port(
      Write:in std_logic_vector(7 downto 0); --what should be put into the write register
      Write:in std_logic_vector(7 downto 0); --what should be put into the write register
      SelRead:in std_logic_vector(2 downto 0); --select which register to read
      SelRead1:in std_logic_vector(2 downto 0); --select which register to read
 
      SelRead2: in std_logic_vector(2 downto 0); --select second register to read
      SelWrite:in std_logic_vector(2 downto 0); --select which register to write
      SelWrite:in std_logic_vector(2 downto 0); --select which register to write
      UseWrite:in std_logic; --if the register should actually be written to
      UseWrite:in std_logic; --if the register should actually be written to
      Clock:in std_logic;
      Clock:in std_logic;
      Read:out std_logic_vector(7 downto 0) --register to be read output
      Read1:out std_logic_vector(7 downto 0); --register to be read output
 
      Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
    );
    );
  end component;
  end component;
 
 
 
 
  --Inputs
  --Inputs
  signal Write : std_logic_vector(7 downto 0) := (others => '0');
  signal Write : std_logic_vector(7 downto 0) := (others => '0');
  signal SelRead: std_logic_vector(2 downto 0) := (others => '0');
  signal SelRead1: std_logic_vector(2 downto 0) := (others => '0');
 
  signal SelRead2: std_logic_vector(2 downto 0) := (others => '0');
  signal SelWrite: std_logic_vector(2 downto 0) := (others => '0');
  signal SelWrite: std_logic_vector(2 downto 0) := (others => '0');
  signal UseWrite: std_logic := '0';
  signal UseWrite: std_logic := '0';
 
 
  --Outputs
  --Outputs
  signal Read : std_logic_vector(7 downto 0);
  signal Read1 : std_logic_vector(7 downto 0);
 
  signal Read2 : std_logic_vector(7 downto 0);
 
 
  signal Clock: std_logic;
  signal Clock: std_logic;
  constant clock_period : time := 10 ns;
  constant clock_period : time := 10 ns;
 
 
BEGIN
BEGIN
 
 
  -- Instantiate the Unit Under Test (UUT)
  -- Instantiate the Unit Under Test (UUT)
  uut: registerfile PORT MAP (
  uut: registerfile PORT MAP (
    Write => Write,
    Write => Write,
    SelRead => SelRead,
    SelRead1 => SelRead1,
 
    SelRead2 => SelRead2,
    SelWrite => SelWrite,
    SelWrite => SelWrite,
    UseWrite => UseWrite,
    UseWrite => UseWrite,
    Clock => Clock,
    Clock => Clock,
    Read => Read
    Read1 => Read1,
 
    Read2 => Read2
  );
  );
 
 
  -- Clock process definitions
  -- Clock process definitions
  clock_process :process
  clock_process :process
  begin
  begin
Line 67... Line 73...
    -- case 1
    -- case 1
    SelWrite <= "000";
    SelWrite <= "000";
    Write <= "11110000";
    Write <= "11110000";
    UseWrite <= '1';
    UseWrite <= '1';
    wait for 10 ns;
    wait for 10 ns;
    SelRead <= "000";
    SelRead1 <= "000";
    UseWrite <= '0';
    UseWrite <= '0';
    wait for 10 ns;
    wait for 10 ns;
    assert (Read="11110000") report "Storage error case 1" severity error;
    assert (Read1="11110000") report "Storage error case 1" severity error;
    if (Read/="11110000") then
    if (Read1/="11110000") then
        err_cnt:=err_cnt+1;
        err_cnt:=err_cnt+1;
    end if;
    end if;
 
 
    -- case 2
    -- case 2
    SelWrite <= "100";
    SelWrite <= "100";
    Write <= "11110001";
    Write <= "11110001";
    UseWrite <= '1';
    UseWrite <= '1';
    wait for 10 ns;
    wait for 10 ns;
    SelRead <= "100";
    SelRead1 <= "100";
    UseWrite <= '0';
    UseWrite <= '0';
    wait for 10 ns;
    wait for 10 ns;
    assert (Read="11110001") report "Storage selector error case 2" severity error;
    assert (Read1="11110001") report "Storage selector error case 2" severity error;
    if (Read/="11110001") then
    if (Read1/="11110001") then
        err_cnt:=err_cnt+1;
        err_cnt:=err_cnt+1;
    end if;
    end if;
 
 
    -- case 3
    -- case 3
    SelRead <= "000";
    SelRead1 <= "000";
    UseWrite <= '0';
    UseWrite <= '0';
    wait for 10 ns;
    wait for 10 ns;
    assert (Read="11110000") report "Storage selector(remembering) error case 3" severity error;
    assert (Read1="11110000") report "Storage selector(remembering) error case 3" severity error;
    if (Read/="11110000") then
    if (Read1/="11110000") then
        err_cnt:=err_cnt+1;
        err_cnt:=err_cnt+1;
    end if;
    end if;
 
 
    -- summary of testbench
    -- summary of testbench
    if (err_cnt=0) then
    if (err_cnt=0) then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.