Line 61... |
Line 61... |
use work.wishbone_pkg.all;
|
use work.wishbone_pkg.all;
|
|
|
-- entity ------------------------------------------------------------
|
-- entity ------------------------------------------------------------
|
entity core_top is
|
entity core_top is
|
generic(
|
generic(
|
g_number_of_in_signals : natural := 1;
|
number_of_in_signals_g : natural := 1;
|
g_number_of_out_signals : natural := 1
|
number_of_out_signals_g : natural := 1
|
);
|
);
|
port(
|
port(
|
clock_i : in std_logic;
|
clock_i : in std_logic;
|
reset_i : in std_logic;
|
reset_i : in std_logic;
|
signals_i : in std_logic_vector(g_number_of_in_signals-1 downto 0);
|
signals_i : in std_logic_vector(number_of_in_signals_g-1 downto 0);
|
signals_o : out std_logic_vector(g_number_of_out_signals-1 downto 0)
|
signals_o : out std_logic_vector(number_of_out_signals_g-1 downto 0)
|
);
|
);
|
end core_top;
|
end core_top;
|
|
|
-- architecture ------------------------------------------------------
|
-- architecture ------------------------------------------------------
|
architecture rtl of core_top is
|
architecture rtl of core_top is
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
-- signal declaration
|
-- signal declaration
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
signal shift_register_r : std_logic_vector (g_number_of_out_signals-1 downto 0);
|
signal shift_register_r : std_logic_vector (number_of_out_signals_g-1 downto 0);
|
signal old_shift_clock_r : std_logic := '0';
|
signal old_shift_clock_r : std_logic := '0';
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
begin
|
begin
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
-- module instantiation
|
-- module instantiation
|