OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [tags/] [LinuxPort/] [Tools/] [s19tovhd/] [S19toVHD.exe] - Diff between revs 78 and 82

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 78 Rev 82
MZ@  !L!This program cannot be run in DOS mode.
MZ@  !L!This program cannot be run in DOS mode.
$PELV2L*0@`N P.text@ P`.datax0@`.bss@`.idataP&@0U@@t}Ef%fEEf
?fEm$@ÐUSEE;E}8EE$ ËEE$9uEEEE[]UEEE}-E$‹E0@9uEEEEEUEEEE$E}tEEEEӋUE}uEE"EE$tEEEEUE$
$PELV2L*0@`N P.text@ P`.datax0@`.bss@`.idataP&@0U@@t}Ef%fEEf
?fEm$@ÐUSEE;E}8EE$ ËEE$9uEEEE[]UEEE}-E$‹E0@9uEEEEEUEEEE$E}tEEEEӋUE}uEE"EE$tEEEEUE$
EE$UE$E}t;E$E}t'EEEE@A%@AEEEEÐUE$E}t(E$yE}tEEEEEEEUE$?E}tGE$+E}t3E$E}tEEEEEEEEÐUE$3E}t(E$E}tEEEEEEEU(D$Q0@E$A E}uED$$S0@     EVEE},E$E}St}tE$E@A}1t}2t봋E$@A}1uE$:E-@AE$qE-@AEE;@A}RE$E@AE)ЉEEE}yEEU)‹E@@EE룋E$HE@A%@A=@AED$$d0@E$EEUE%EED$E0@$wEEEED$E0@$PEE@A%@AEÐUE%D$E$nEED$E$XEEU(ED$0@E$D$0@E$D$0@E$D$0@E$D$0@E$D$1@E$oED$D$1@E$UD$ 1@E$BD$,1@E$/D$L1@E$D$l1@E$      D$1@E$D$1@E$D$1@E$D$2@E$D$E2@E$ED$D$L2@E$D$1@E$}ED$D$U2@E$cD$p2@E$PD$2@E$=D$2@E$*D$2@E$D$2@E$EEE;EED$D$2@E$E}@AU)‰ЋU‰UEE}yEEU)‰@@EED$Eƒ0@$[ED$U0@$=EjE ;E}D$2@E$D$2@E$EE D$2@E$D$2@E$D$3@E$D$*3@E$D$C3@E$D$^3@E$D$x3@E$sD$3@E$`D$3@E$MD$3@E$:D$3@E$'D$3@E$D$4@E$D$4@E$D$'4@E$D$<4@E$D$M4@E$ÐUX
EE$UE$E}t;E$E}t'EEEE@A%@AEEEEÐUE$E}t(E$yE}tEEEEEEEUE$?E}tGE$+E}t3E$E}tEEEEEEEEÐUE$3E}t(E$E}tEEEEEEEU(D$Q0@E$A E}uED$$S0@     EVEE},E$E}St}tE$E@A}1t}2t봋E$@A}1uE$:E-@AE$qE-@AEE;@A}RE$E@AE)ЉEEE}yEEU)‹E@@EE룋E$HE@A%@A=@AED$$d0@E$EEUE%EED$E0@$wEEEED$E0@$PEE@A%@AEÐUE%D$E$nEED$E$XEEU(ED$0@E$D$0@E$D$0@E$D$0@E$D$0@E$D$1@E$oED$D$1@E$UD$ 1@E$BD$,1@E$/D$L1@E$D$l1@E$      D$1@E$D$1@E$D$1@E$D$2@E$D$E2@E$ED$D$L2@E$D$1@E$}ED$D$U2@E$cD$p2@E$PD$2@E$=D$2@E$*D$2@E$D$2@E$EEE;EED$D$2@E$E}@AU)‰ЋU‰UEE}yEEU)‰@@EED$Eƒ0@$[ED$U0@$=EjE ;E}D$2@E$D$2@E$EE D$2@E$D$2@E$D$3@E$D$*3@E$D$C3@E$D$^3@E$D$x3@E$sD$3@E$`D$3@E$MD$3@E$:D$3@E$'D$3@E$D$4@E$D$4@E$D$'4@E$D$<4@E$D$M4@E$ÐUX
g}$h4@DžED$$4@^ED$$4@FED$$4@.E$uDžrD$5@E$u'ED$$ 5@Dž$Dž;EED$E$"E}u(ED$$<5@VEEED$ED$D$`5@$ED$ED$ED$D$$h5@ED$ED$D$$t$DžÐQ=r -)     ̋@UED$$wPA%PA%QA%QA%PA%PA%PA%QA%PA%PA%PA%QAUVS]u$ۉPACCCCEǃǃC,0(@C08(@C@As(CH'@CL '@CP0'@CT@'@C$@ACP'@CX'@C `'@CDh'@Qx@A 0@$0@(0@,0@00@~540@`
80@B<0@' 0@$0@B(0@B,0@B00@B40@B80@B<0@B$      C40@C8t6@C<@@C@AAC|e[^]t&@PA@@ǀǀ@,0(@@08(@@@Aq(AH'@AL '@EAP0'@AT@'@A$@AAP'@AX'@A `'@ADh'@\B<0@B80@vB40@vB00@uvB,0@XvB(0@;vB$0@v 0@U@AD$@AD$@A$;ÍUSEMUrtftF؋]T$D$$tڡAA$AA&U]EMU]EMt&11ۉ
@A@A@A@AD$`@$ãAA؋]&U%PAUWVS$hJD$t$$5Oot$$D$ƍED$ED$pT$E`\O]D$\$D$D$F6@<$4$iD$\$t$<$D$D$\$D$D$5@<$^`D$TD$\$<$D$34$hD$\$D$D$5@<$D$D$i$%]D$D$i$%<t&ED$ED$D$pT$NE\]D$\$D$D$F6@<$]D$\$D$D$_6@<$6\D$TD$\$<$D$t$$D$ƍED$ED$pT$EX\+]\$D$D$D$F6@$4$A\$t$D$$D$Y\$D$D$D$5@$.XD$T\$D$$D$4$4&UHɉ]ˉuƉ}Uu
]u}]ÍED$D$4$E@totjE}|$D$@D$Eԉ$EЃ\$D$4$3E@ttE|$D$ED$Eԉ$A[EЉ\$4$D$E@;}u.t$D$$5@lU(t6@]u}t
]u}]ú@@@@t6@~ك@@~'=@@5@@u@@u@@ujPucP*X@@ssS@E@@ti ET$$6@=@@+uS@@U@@r@fyu
)ȉEEU@@=t&Uy@
)ȉEEUjE)UMQ)ȉE)ȉEÉT$$5@U]ÐU]ÐU]ÐU]Ð%QA%PA%QA%PAUS]D$AAE$]]EAAU%PAU]%PA% QA%QA%PA%QA%PA%,QA%0QA%(QA%
g}$h4@DžED$$4@^ED$$4@FED$$4@.E$uDžrD$5@E$u'ED$$ 5@Dž$Dž;EED$E$"E}u(ED$$<5@VEEED$ED$D$`5@$ED$ED$ED$D$$h5@ED$ED$D$$t$DžÐQ=r -)     ̋@UED$$wPA%PA%QA%QA%PA%PA%PA%QA%PA%PA%PA%QAUVS]u$ۉPACCCCEǃǃC,0(@C08(@C@As(CH'@CL '@CP0'@CT@'@C$@ACP'@CX'@C `'@CDh'@Qx@A 0@$0@(0@,0@00@~540@`
80@B<0@' 0@$0@B(0@B,0@B00@B40@B80@B<0@B$      C40@C8t6@C<@@C@AAC|e[^]t&@PA@@ǀǀ@,0(@@08(@@@Aq(AH'@AL '@EAP0'@AT@'@A$@AAP'@AX'@A `'@ADh'@\B<0@B80@vB40@vB00@uvB,0@XvB(0@;vB$0@v 0@U@AD$@AD$@A$;ÍUSEMUrtftF؋]T$D$$tڡAA$AA&U]EMU]EMt&11ۉ
@A@A@A@AD$`@$ãAA؋]&U%PAUWVS$hJD$t$$5Oot$$D$ƍED$ED$pT$E`\O]D$\$D$D$F6@<$4$iD$\$t$<$D$D$\$D$D$5@<$^`D$TD$\$<$D$34$hD$\$D$D$5@<$D$D$i$%]D$D$i$%<t&ED$ED$D$pT$NE\]D$\$D$D$F6@<$]D$\$D$D$_6@<$6\D$TD$\$<$D$t$$D$ƍED$ED$pT$EX\+]\$D$D$D$F6@$4$A\$t$D$$D$Y\$D$D$D$5@$.XD$T\$D$$D$4$4&UHɉ]ˉuƉ}Uu
]u}]ÍED$D$4$E@totjE}|$D$@D$Eԉ$EЃ\$D$4$3E@ttE|$D$ED$Eԉ$A[EЉ\$4$D$E@;}u.t$D$$5@lU(t6@]u}t
]u}]ú@@@@t6@~ك@@~'=@@5@@u@@u@@ujPucP*X@@ssS@E@@ti ET$$6@=@@+uS@@U@@r@fyu
)ȉEEU@@=t&Uy@
)ȉEEUjE)UMQ)ȉE)ȉEÉT$$5@U]ÐU]ÐU]ÐU]Ð%QA%PA%QA%PAUS]D$AAE$]]EAAU%PAU]%PA% QA%QA%PA%QA%PA%,QA%0QA%(QA%
Can't open %s
Can't open %s
checksum error - read check = %02xlibrary IEEE;
checksum error - read check = %02xlibrary IEEE;
   use IEEE.std_logic_1164.all;
   use IEEE.std_logic_1164.all;
   use IEEE.std_logic_arith.all;
   use IEEE.std_logic_arith.all;
library unisim;
library unisim;
   use unisim.vcomponents.all;
   use unisim.vcomponents.all;
entity %s is
entity %s is
   port(
   port(
      clk    : in  std_logic;
      clk    : in  std_logic;
      rst    : in  std_logic;
      rst    : in  std_logic;
      cs     : in  std_logic;
      cs     : in  std_logic;
      rw     : in  std_logic;
      rw     : in  std_logic;
      addr   : in  std_logic_vector(10 downto 0);
      addr   : in  std_logic_vector(10 downto 0);
      rdata  : out std_logic_vector(7 downto 0);
      rdata  : out std_logic_vector(7 downto 0);
      wdata  : in  std_logic_vector(7 downto 0)
      wdata  : in  std_logic_vector(7 downto 0)
   );
   );
end %s;
end %s;
architecture rtl of %s is
architecture rtl of %s is
   signal we : std_logic;
   signal we : std_logic;
   signal dp : std_logic;
   signal dp : std_logic;
begin
begin
   ROM: RAMB16_S9
   ROM: RAMB16_S9
      generic map (
      generic map (
         INIT_%02x => x"",
         INIT_%02x => x"",
"
"
      )
      )
      port map (
      port map (
         do    => rdata,
         do    => rdata,
         dop(0)  => dp,
         dop(0)  => dp,
         addr    => addr,
         addr    => addr,
         clk     => clk,
         clk     => clk,
         di      => wdata,
         di      => wdata,
         dip(0)  => dp,
         dip(0)  => dp,
         en      => cs,
         en      => cs,
         ssr     => rst,
         ssr     => rst,
         we      => we
         we      => we
      );
      );
   drive_we: process (rw)
   drive_we: process (rw)
   begin
   begin
      we <= not rw;
      we <= not rw;
   end process;
   end process;
end architecture rtl;
end architecture rtl;
Usage: s19tovhd     [ ...]
Usage: s19tovhd     [ ...]
Reading Motorola S19 from file '%s'
Reading Motorola S19 from file '%s'
VHDL file name '%s'
VHDL file name '%s'
Base RAM/ROM entity name is '%s'
Base RAM/ROM entity name is '%s'
w
w
Can't open '%s' for write Expected hex start address, got %s
Can't open '%s' for write Expected hex start address, got %s
%s_%4XEntity '%s' (address range '0x%4X'-'0x%4X') written to file '%s'
%s_%4XEntity '%s' (address range '0x%4X'-'0x%4X') written to file '%s'
:
:
  VirtualQuery failed for %d bytes at address %p  Unknown pseudo relocation protocol version %d.
  VirtualQuery failed for %d bytes at address %p  Unknown pseudo relocation protocol version %d.
  Unknown pseudo relocation bit size %d.
  Unknown pseudo relocation bit size %d.
Cygwin runtime failure: : 
Cygwin runtime failure: : 


(8
(8
D
D
\
\
 j
 j
{
{








_strlen _count
_strlen _count

_printfP 

_printfP 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.