OpenCores
URL https://opencores.org/ocsvn/alu_with_selectable_inputs_and_outputs/alu_with_selectable_inputs_and_outputs/trunk

Subversion Repositories alu_with_selectable_inputs_and_outputs

[/] [alu_with_selectable_inputs_and_outputs/] [tags/] [arelease/] [sv_files/] [simvision_improved_test.sv] - Diff between revs 3 and 4

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 4
# SimVision Command Script (Sat Jan 06 15:05:30 EET 2007)
# SimVision Command Script (Sat Jan 06 15:05:30 EET 2007)
#
#
# Version 05.50.s003
# Version 05.50.s003
#
#
# You can restore this configuration with:
# You can restore this configuration with:
#
#
# simvision -input simvision_ac.sv
# simvision -input simvision_ac.sv
# or
# or
# simvision -input simvision_ac.sv database1 database2 ...
# simvision -input simvision_ac.sv database1 database2 ...
#
#
#
#
# preferences
# preferences
#
#
preferences set signal-type-colors {
preferences set signal-type-colors {
        group #0000FF
        group #0000FF
        overlay #0000FF
        overlay #0000FF
        input #FFFF00
        input #FFFF00
        output #FFA500
        output #FFA500
        inout #00FFFF
        inout #00FFFF
        internal #00FF00
        internal #00FF00
        fiber #FF99FF
        fiber #FF99FF
        errorsignal #FF0000
        errorsignal #FF0000
        assertion #FF0000
        assertion #FF0000
        unknown #FFFFFF
        unknown #FFFFFF
}
}
preferences set sb-syntax-types {
preferences set sb-syntax-types {
    {-name "VHDL/VHDL-AMS" -cleanname "vhdl" -extensions {.vhd .vhdl}}
    {-name "VHDL/VHDL-AMS" -cleanname "vhdl" -extensions {.vhd .vhdl}}
    {-name "Verilog/Verilog-AMS" -cleanname "verilog" -extensions {.v .vams .vms .va}}
    {-name "Verilog/Verilog-AMS" -cleanname "verilog" -extensions {.v .vams .vms .va}}
    {-name "C" -cleanname "c" -extensions {.c}}
    {-name "C" -cleanname "c" -extensions {.c}}
    {-name "C++" -cleanname "c++" -extensions {.h .hpp .cc .cpp .CC}}
    {-name "C++" -cleanname "c++" -extensions {.h .hpp .cc .cpp .CC}}
    {-name "SystemC" -cleanname "systemc" -extensions {.h .hpp .cc .cpp .CC}}
    {-name "SystemC" -cleanname "systemc" -extensions {.h .hpp .cc .cpp .CC}}
}
}
preferences set toolbar-Windows-SrcBrowser {
preferences set toolbar-Windows-SrcBrowser {
  usual
  usual
  hide icheck
  hide icheck
}
}
preferences set key-bindings {
preferences set key-bindings {
        Edit>Undo "Ctrl+Z"
        Edit>Undo "Ctrl+Z"
        Edit>Redo "Ctrl+Y"
        Edit>Redo "Ctrl+Y"
        Edit>Copy "Ctrl+C"
        Edit>Copy "Ctrl+C"
        Edit>Cut "Ctrl+X"
        Edit>Cut "Ctrl+X"
        Edit>Paste "Ctrl+V"
        Edit>Paste "Ctrl+V"
        Edit>Delete "Del"
        Edit>Delete "Del"
        Select>All "Ctrl+A"
        Select>All "Ctrl+A"
        Edit>Select>All "Ctrl+A"
        Edit>Select>All "Ctrl+A"
        Edit>SelectAll "Ctrl+A"
        Edit>SelectAll "Ctrl+A"
        openDB "Ctrl+O"
        openDB "Ctrl+O"
        Simulation>Run "F2"
        Simulation>Run "F2"
        Simulation>Next "F6"
        Simulation>Next "F6"
        Simulation>Step "F5"
        Simulation>Step "F5"
        #Schematic window
        #Schematic window
        View>Zoom>Fit "Alt+="
        View>Zoom>Fit "Alt+="
        View>Zoom>In "Alt+I"
        View>Zoom>In "Alt+I"
        View>Zoom>Out "Alt+O"
        View>Zoom>Out "Alt+O"
        #Waveform Window
        #Waveform Window
        View>Zoom>InX "Alt+I"
        View>Zoom>InX "Alt+I"
        View>Zoom>OutX "Alt+O"
        View>Zoom>OutX "Alt+O"
        View>Zoom>FullX "Alt+="
        View>Zoom>FullX "Alt+="
        View>Zoom>InX_widget "I"
        View>Zoom>InX_widget "I"
        View>Zoom>OutX_widget "O"
        View>Zoom>OutX_widget "O"
        View>Zoom>FullX_widget "="
        View>Zoom>FullX_widget "="
        View>Zoom>FullY_widget "Y"
        View>Zoom>FullY_widget "Y"
        View>Zoom>Cursor-Baseline "Alt+Z"
        View>Zoom>Cursor-Baseline "Alt+Z"
        View>Center "Alt+C"
        View>Center "Alt+C"
        View>ExpandSequenceTime>AtCursor "Alt+X"
        View>ExpandSequenceTime>AtCursor "Alt+X"
        View>CollapseSequenceTime>AtCursor "Alt+S"
        View>CollapseSequenceTime>AtCursor "Alt+S"
        Edit>Create>Group "Ctrl+G"
        Edit>Create>Group "Ctrl+G"
        Edit>Ungroup "Ctrl+Shift+G"
        Edit>Ungroup "Ctrl+Shift+G"
        Edit>Create>Marker "Ctrl+M"
        Edit>Create>Marker "Ctrl+M"
        Edit>Create>Condition "Ctrl+E"
        Edit>Create>Condition "Ctrl+E"
        Edit>Create>Bus "Ctrl+W"
        Edit>Create>Bus "Ctrl+W"
        Explore>NextEdge "Ctrl+]"
        Explore>NextEdge "Ctrl+]"
        Explore>PreviousEdge "Ctrl+["
        Explore>PreviousEdge "Ctrl+["
        ScrollRight "Right arrow"
        ScrollRight "Right arrow"
        ScrollLeft "Left arrow"
        ScrollLeft "Left arrow"
        ScrollUp "Up arrow"
        ScrollUp "Up arrow"
        ScrollDown "Down arrow"
        ScrollDown "Down arrow"
        PageUp "PageUp"
        PageUp "PageUp"
        PageDown "PageDown"
        PageDown "PageDown"
        TopOfPage "Home"
        TopOfPage "Home"
        BottomOfPage "End"
        BottomOfPage "End"
}
}
preferences set toolbar-Windows-WaveWindow {
preferences set toolbar-Windows-WaveWindow {
  usual
  usual
  hide icheck
  hide icheck
  position -pos 3
  position -pos 3
}
}
preferences set toolbar-Windows-WatchList {
preferences set toolbar-Windows-WatchList {
  usual
  usual
  hide icheck
  hide icheck
}
}
#
#
# databases
# databases
#
#
database require waves -hints {
database require waves -hints {
        file ./waves/waves.trn
        file ./waves/waves.trn
        file /home/student/pvlsi/dragos/proj_new1/waves/waves.trn
        file /home/student/pvlsi/dragos/proj_new1/waves/waves.trn
        file /home/student/pvlsi/dragos/proj_new1/waves_improved_test/waves_improved_test.trn
        file /home/student/pvlsi/dragos/proj_new1/waves_improved_test/waves_improved_test.trn
}
}
#
#
# groups
# groups
#
#
if {[catch {group new -name SELECTOR -overlay 0}] != ""} {
if {[catch {group new -name SELECTOR -overlay 0}] != ""} {
    group using SELECTOR
    group using SELECTOR
    group set -overlay 0
    group set -overlay 0
    group set -comment {}
    group set -comment {}
    group clear 0 end
    group clear 0 end
}
}
group insert \
group insert \
    proj_improved_test.dut.selector.clk \
    proj_improved_test.dut.selector.clk \
    proj_improved_test.dut.selector.res \
    proj_improved_test.dut.selector.res \
    proj_improved_test.dut.selector.stb \
    proj_improved_test.dut.selector.stb \
    proj_improved_test.dut.selector.data_valid_in \
    proj_improved_test.dut.selector.data_valid_in \
    {proj_improved_test.dut.selector.sel[1:0]} \
    {proj_improved_test.dut.selector.sel[1:0]} \
    {proj_improved_test.dut.selector.data_in_0[7:0]} \
    {proj_improved_test.dut.selector.data_in_0[7:0]} \
    {proj_improved_test.dut.selector.data_in_1[7:0]} \
    {proj_improved_test.dut.selector.data_in_1[7:0]} \
    {proj_improved_test.dut.selector.data_in_2[7:0]} \
    {proj_improved_test.dut.selector.data_in_2[7:0]} \
    {proj_improved_test.dut.selector.data_out[7:0]} \
    {proj_improved_test.dut.selector.data_out[7:0]} \
    proj_improved_test.dut.selector.data_valid_out \
    proj_improved_test.dut.selector.data_valid_out \
    {proj_improved_test.dut.selector.reg_sel[1:0]} \
    {proj_improved_test.dut.selector.reg_sel[1:0]} \
    proj_improved_test.dut.selector.stb_out
    proj_improved_test.dut.selector.stb_out
if {[catch {group new -name ALU -overlay 0}] != ""} {
if {[catch {group new -name ALU -overlay 0}] != ""} {
    group using ALU
    group using ALU
    group set -overlay 0
    group set -overlay 0
    group set -comment {}
    group set -comment {}
    group clear 0 end
    group clear 0 end
}
}
group insert \
group insert \
    proj_improved_test.dut.alu.clk \
    proj_improved_test.dut.alu.clk \
    proj_improved_test.dut.alu.res \
    proj_improved_test.dut.alu.res \
    proj_improved_test.dut.alu.alu_stb_in \
    proj_improved_test.dut.alu.alu_stb_in \
    proj_improved_test.dut.alu.alu_data_valid_in \
    proj_improved_test.dut.alu.alu_data_valid_in \
    {proj_improved_test.dut.alu.operator_type[3:0]} \
    {proj_improved_test.dut.alu.operator_type[3:0]} \
    {proj_improved_test.dut.alu.operator_symbol[2:0]} \
    {proj_improved_test.dut.alu.operator_symbol[2:0]} \
    {proj_improved_test.dut.alu.alu_data_in[7:0]} \
    {proj_improved_test.dut.alu.alu_data_in[7:0]} \
    {proj_improved_test.dut.alu.alu_result[15:0]} \
    {proj_improved_test.dut.alu.alu_result[15:0]} \
    proj_improved_test.dut.alu.result_parity \
    proj_improved_test.dut.alu.result_parity \
    proj_improved_test.dut.alu.output_channel \
    proj_improved_test.dut.alu.output_channel \
    proj_improved_test.dut.alu.alu_stb_out \
    proj_improved_test.dut.alu.alu_stb_out \
    proj_improved_test.dut.alu.executed_case_once \
    proj_improved_test.dut.alu.executed_case_once \
    proj_improved_test.dut.alu.i \
    proj_improved_test.dut.alu.i \
    proj_improved_test.dut.alu.j
    proj_improved_test.dut.alu.j
if {[catch {group new -name {Group 3} -overlay 0}] != ""} {
if {[catch {group new -name {Group 3} -overlay 0}] != ""} {
    group using {Group 3}
    group using {Group 3}
    group set -overlay 0
    group set -overlay 0
    group set -comment {}
    group set -comment {}
    group clear 0 end
    group clear 0 end
}
}
group insert \
group insert \
    {proj_improved_test.dut.dmux.alu_result[15:0]} \
    {proj_improved_test.dut.dmux.alu_result[15:0]} \
    proj_improved_test.dut.dmux.clk \
    proj_improved_test.dut.dmux.clk \
    proj_improved_test.dut.dmux.dmux_stb_in \
    proj_improved_test.dut.dmux.dmux_stb_in \
    {proj_improved_test.dut.dmux.out_0[15:0]} \
    {proj_improved_test.dut.dmux.out_0[15:0]} \
    {proj_improved_test.dut.dmux.out_1[15:0]} \
    {proj_improved_test.dut.dmux.out_1[15:0]} \
    proj_improved_test.dut.dmux.output_channel \
    proj_improved_test.dut.dmux.output_channel \
    proj_improved_test.dut.dmux.parity_0 \
    proj_improved_test.dut.dmux.parity_0 \
    proj_improved_test.dut.dmux.parity_1 \
    proj_improved_test.dut.dmux.parity_1 \
    proj_improved_test.dut.dmux.res \
    proj_improved_test.dut.dmux.res \
    proj_improved_test.dut.dmux.result_parity \
    proj_improved_test.dut.dmux.result_parity \
    proj_improved_test.dut.dmux.valid_0 \
    proj_improved_test.dut.dmux.valid_0 \
    proj_improved_test.dut.dmux.valid_1
    proj_improved_test.dut.dmux.valid_1
if {[catch {group new -name DMUX -overlay 0}] != ""} {
if {[catch {group new -name DMUX -overlay 0}] != ""} {
    group using DMUX
    group using DMUX
    group set -overlay 0
    group set -overlay 0
    group set -comment {}
    group set -comment {}
    group clear 0 end
    group clear 0 end
}
}
group insert \
group insert \
    proj_improved_test.dut.dmux.clk \
    proj_improved_test.dut.dmux.clk \
    proj_improved_test.dut.dmux.res \
    proj_improved_test.dut.dmux.res \
    proj_improved_test.dut.dmux.dmux_stb_in \
    proj_improved_test.dut.dmux.dmux_stb_in \
    proj_improved_test.dut.dmux.output_channel \
    proj_improved_test.dut.dmux.output_channel \
    {proj_improved_test.dut.dmux.alu_result[15:0]} \
    {proj_improved_test.dut.dmux.alu_result[15:0]} \
    proj_improved_test.dut.dmux.result_parity \
    proj_improved_test.dut.dmux.result_parity \
    {proj_improved_test.dut.dmux.out_0[15:0]} \
    {proj_improved_test.dut.dmux.out_0[15:0]} \
    {proj_improved_test.dut.dmux.out_1[15:0]} \
    {proj_improved_test.dut.dmux.out_1[15:0]} \
    proj_improved_test.dut.dmux.parity_0 \
    proj_improved_test.dut.dmux.parity_0 \
    proj_improved_test.dut.dmux.parity_1 \
    proj_improved_test.dut.dmux.parity_1 \
    proj_improved_test.dut.dmux.valid_0 \
    proj_improved_test.dut.dmux.valid_0 \
    proj_improved_test.dut.dmux.valid_1
    proj_improved_test.dut.dmux.valid_1
#
#
# mmaps
# mmaps
#
#
mmap new -reuse -name {Boolean as Logic} -contents {
mmap new -reuse -name {Boolean as Logic} -contents {
{%c=FALSE -edgepriority 1 -shape low}
{%c=FALSE -edgepriority 1 -shape low}
{%c=TRUE -edgepriority 1 -shape high}
{%c=TRUE -edgepriority 1 -shape high}
}
}
mmap new -reuse -name {Example Map} -contents {
mmap new -reuse -name {Example Map} -contents {
{%b=11???? -bgcolor orange -label REG:%x -linecolor yellow -shape bus}
{%b=11???? -bgcolor orange -label REG:%x -linecolor yellow -shape bus}
{%x=1F -bgcolor red -label ERROR -linecolor white -shape EVENT}
{%x=1F -bgcolor red -label ERROR -linecolor white -shape EVENT}
{%x=2C -bgcolor red -label ERROR -linecolor white -shape EVENT}
{%x=2C -bgcolor red -label ERROR -linecolor white -shape EVENT}
{%x=* -label %x -linecolor gray -shape bus}
{%x=* -label %x -linecolor gray -shape bus}
}
}
#
#
# Design Browser windows
# Design Browser windows
#
#
if {[catch {window new WatchList -name "Design Browser 1" -geometry 1265x915+0+0}] != ""} {
if {[catch {window new WatchList -name "Design Browser 1" -geometry 1265x915+0+0}] != ""} {
    window geometry "Design Browser 1" 1265x915+0+0
    window geometry "Design Browser 1" 1265x915+0+0
}
}
window target "Design Browser 1" on
window target "Design Browser 1" on
browser using {Design Browser 1}
browser using {Design Browser 1}
browser set \
browser set \
    -scope proj_improved_test.dut.dmux
    -scope proj_improved_test.dut.dmux
browser yview see proj_improved_test.dut.dmux
browser yview see proj_improved_test.dut.dmux
browser timecontrol set -lock 0
browser timecontrol set -lock 0
#
#
# Waveform windows
# Waveform windows
#
#
if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1278x915+0+0}] != ""} {
if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1278x915+0+0}] != ""} {
    window geometry "Waveform 1" 1278x915+0+0
    window geometry "Waveform 1" 1278x915+0+0
}
}
window target "Waveform 1" on
window target "Waveform 1" on
waveform using {Waveform 1}
waveform using {Waveform 1}
waveform sidebar visibility partial
waveform sidebar visibility partial
waveform set \
waveform set \
    -primarycursor TimeA \
    -primarycursor TimeA \
    -signalnames name \
    -signalnames name \
    -signalwidth 175 \
    -signalwidth 175 \
    -units ns \
    -units ns \
    -valuewidth 116
    -valuewidth 116
cursor set -using TimeA -time 115ns
cursor set -using TimeA -time 115ns
waveform baseline set -time 0
waveform baseline set -time 0
set groupId [waveform add -groups SELECTOR]
set groupId [waveform add -groups SELECTOR]
set glist [waveform hierarchy contents $groupId]
set glist [waveform hierarchy contents $groupId]
set id [lindex $glist 0]
set id [lindex $glist 0]
foreach {name attrs} {
foreach {name attrs} {
    proj_improved_test.dut.selector.clk {}
    proj_improved_test.dut.selector.clk {}
    proj_improved_test.dut.selector.res {}
    proj_improved_test.dut.selector.res {}
    proj_improved_test.dut.selector.stb {}
    proj_improved_test.dut.selector.stb {}
    proj_improved_test.dut.selector.data_valid_in {}
    proj_improved_test.dut.selector.data_valid_in {}
    proj_improved_test.dut.selector.sel {}
    proj_improved_test.dut.selector.sel {}
    proj_improved_test.dut.selector.data_in_0 {}
    proj_improved_test.dut.selector.data_in_0 {}
    proj_improved_test.dut.selector.data_in_1 {}
    proj_improved_test.dut.selector.data_in_1 {}
    proj_improved_test.dut.selector.data_in_2 {-radix %x}
    proj_improved_test.dut.selector.data_in_2 {-radix %x}
    proj_improved_test.dut.selector.data_out {-radix %x}
    proj_improved_test.dut.selector.data_out {-radix %x}
    proj_improved_test.dut.selector.data_valid_out {}
    proj_improved_test.dut.selector.data_valid_out {}
    proj_improved_test.dut.selector.reg_sel {}
    proj_improved_test.dut.selector.reg_sel {}
    proj_improved_test.dut.selector.stb_out {}
    proj_improved_test.dut.selector.stb_out {}
} {
} {
    set expected [ join [waveform signals -format native $id] ]
    set expected [ join [waveform signals -format native $id] ]
    if {[string equal $name $expected]} {
    if {[string equal $name $expected]} {
        if {$attrs != ""} {
        if {$attrs != ""} {
            eval waveform format $id $attrs
            eval waveform format $id $attrs
        }
        }
        set glist [lrange $glist 1 end]
        set glist [lrange $glist 1 end]
        set id [lindex $glist 0]
        set id [lindex $glist 0]
    }
    }
}
}
set groupId [waveform add -groups ALU]
set groupId [waveform add -groups ALU]
set glist [waveform hierarchy contents $groupId]
set glist [waveform hierarchy contents $groupId]
set id [lindex $glist 0]
set id [lindex $glist 0]
foreach {name attrs} {
foreach {name attrs} {
    proj_improved_test.dut.alu.clk {}
    proj_improved_test.dut.alu.clk {}
    proj_improved_test.dut.alu.res {}
    proj_improved_test.dut.alu.res {}
    proj_improved_test.dut.alu.alu_stb_in {}
    proj_improved_test.dut.alu.alu_stb_in {}
    proj_improved_test.dut.alu.alu_data_valid_in {}
    proj_improved_test.dut.alu.alu_data_valid_in {}
    proj_improved_test.dut.alu.operator_type {}
    proj_improved_test.dut.alu.operator_type {}
    proj_improved_test.dut.alu.operator_symbol {}
    proj_improved_test.dut.alu.operator_symbol {}
    proj_improved_test.dut.alu.alu_data_in {-radix %x}
    proj_improved_test.dut.alu.alu_data_in {-radix %x}
    proj_improved_test.dut.alu.alu_result {-radix %x}
    proj_improved_test.dut.alu.alu_result {-radix %x}
    proj_improved_test.dut.alu.result_parity {}
    proj_improved_test.dut.alu.result_parity {}
    proj_improved_test.dut.alu.output_channel {}
    proj_improved_test.dut.alu.output_channel {}
    proj_improved_test.dut.alu.alu_stb_out {}
    proj_improved_test.dut.alu.alu_stb_out {}
    proj_improved_test.dut.alu.executed_case_once {}
    proj_improved_test.dut.alu.executed_case_once {}
    proj_improved_test.dut.alu.i {}
    proj_improved_test.dut.alu.i {}
    proj_improved_test.dut.alu.j {}
    proj_improved_test.dut.alu.j {}
} {
} {
    set expected [ join [waveform signals -format native $id] ]
    set expected [ join [waveform signals -format native $id] ]
    if {[string equal $name $expected]} {
    if {[string equal $name $expected]} {
        if {$attrs != ""} {
        if {$attrs != ""} {
            eval waveform format $id $attrs
            eval waveform format $id $attrs
        }
        }
        set glist [lrange $glist 1 end]
        set glist [lrange $glist 1 end]
        set id [lindex $glist 0]
        set id [lindex $glist 0]
    }
    }
}
}
set groupId [waveform add -groups DMUX]
set groupId [waveform add -groups DMUX]
set id [waveform add -signals [list proj_improved_test.dut.dmux.i \
set id [waveform add -signals [list proj_improved_test.dut.dmux.i \
        proj_improved_test.dut.dmux.dmux_stb_in_was_1 ]]
        proj_improved_test.dut.dmux.dmux_stb_in_was_1 ]]
waveform xview limits 0 200ns
waveform xview limits 0 200ns
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.