OpenCores
URL https://opencores.org/ocsvn/apbi2c/apbi2c/trunk

Subversion Repositories apbi2c

[/] [apbi2c/] [trunk/] [rtl/] [fifo.v] - Diff between revs 15 and 16

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 15 Rev 16
//////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////
////
////
////
////
////    FIFO BLOCK to I2C Core
////    FIFO BLOCK to I2C Core
////
////
////
////
////
////
//// This file is part of the APB to I2C project
//// This file is part of the APB to I2C project
////
////
//// http://www.opencores.org/cores/apbi2c/
//// http://www.opencores.org/cores/apbi2c/
////
////
////
////
////
////
//// Description
//// Description
////
////
//// Implementation of APB IP core according to
//// Implementation of APB IP core according to
////
////
//// apbi2c_spec IP core specification document.
//// apbi2c_spec IP core specification document.
////
////
////
////
////
////
//// To Do: This block inst functional yet when you try only write half registers and it didnt go correctly FULL and EMPTY
//// To Do: This block inst functional yet when you try only write half registers and it didnt go correctly FULL and EMPTY
////
////
////
////
////
////
////
////
////
////
//// Author(s): - Felipe Fernandes Da Costa, fefe2560@gmail.com
//// Author(s): - Felipe Fernandes Da Costa, fefe2560@gmail.com
////              Ronal Dario Celaya
////              Ronal Dario Celaya
////
////
///////////////////////////////////////////////////////////////// 
///////////////////////////////////////////////////////////////// 
////
////
////
////
//// Copyright (C) 2009 Authors and OPENCORES.ORG
//// Copyright (C) 2009 Authors and OPENCORES.ORG
////
////
////
////
////
////
//// This source file may be used and distributed without
//// This source file may be used and distributed without
////
////
//// restriction provided that this copyright statement is not
//// restriction provided that this copyright statement is not
////
////
//// removed from the file and that any derivative work contains
//// removed from the file and that any derivative work contains
//// the original copyright notice and the associated disclaimer.
//// the original copyright notice and the associated disclaimer.
////
////
////
////
//// This source file is free software; you can redistribute it
//// This source file is free software; you can redistribute it
////
////
//// and/or modify it under the terms of the GNU Lesser General
//// and/or modify it under the terms of the GNU Lesser General
////
////
//// Public License as published by the Free Software Foundation;
//// Public License as published by the Free Software Foundation;
//// either version 2.1 of the License, or (at your option) any
//// either version 2.1 of the License, or (at your option) any
////
////
//// later version.
//// later version.
////
////
////
////
////
////
//// This source is distributed in the hope that it will be
//// This source is distributed in the hope that it will be
////
////
//// useful, but WITHOUT ANY WARRANTY; without even the implied
//// useful, but WITHOUT ANY WARRANTY; without even the implied
////
////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
////
////
//// PURPOSE. See the GNU Lesser General Public License for more
//// PURPOSE. See the GNU Lesser General Public License for more
//// details.
//// details.
////
////
////
////
////
////
//// You should have received a copy of the GNU Lesser General
//// You should have received a copy of the GNU Lesser General
////
////
//// Public License along with this source; if not, download it
//// Public License along with this source; if not, download it
////
////
//// from http://www.opencores.org/lgpl.shtml
//// from http://www.opencores.org/lgpl.shtml
////
////
////
////
///////////////////////////////////////////////////////////////////
///////////////////////////////////////////////////////////////////
 
`timescale 1ns/1ps
module fifo
module fifo
#(
#(
        parameter integer DWIDTH = 32,
        parameter integer DWIDTH = 32,
        parameter integer AWIDTH = 4
        parameter integer AWIDTH = 4
)
)
 
 
(
(
        input clock, reset, wr_en, rd_en,
        input clock, reset, wr_en, rd_en,
        input [DWIDTH-1:0] data_in,
        input [DWIDTH-1:0] data_in,
        output f_full, f_empty,
        output f_full, f_empty,
        output [DWIDTH-1:0] data_out
        output [DWIDTH-1:0] data_out
);
);
 
 
 
 
        reg [DWIDTH-1:0] mem [0:2**AWIDTH-1];
//      reg [DWIDTH-1:0] mem [0:2**AWIDTH-1];
 
        parameter integer DEPTH = 1 << AWIDTH;
 
        wire [DWIDTH-1:0] data_ram_out;
 
        wire wr_en_ram;
 
        wire rd_en_ram;
 
 
        reg [AWIDTH-1:0] wr_ptr;
        reg [AWIDTH-1:0] wr_ptr;
        reg [AWIDTH-1:0] rd_ptr;
        reg [AWIDTH-1:0] rd_ptr;
        reg [AWIDTH-1:0] last_position;
        reg [AWIDTH:0] counter;
 
 
        reg last_was_write;
        reg last_was_write;
 
 
 
//Write pointer
        always@(posedge clock)
        always@(posedge clock)
        begin
        begin
 
 
                if (reset)
                if (reset)
                //SYNCHRONOUS RESET
 
                begin
                begin
                rd_ptr <= {AWIDTH{1'b0}};
                        wr_ptr <= {(AWIDTH){1'b0}};
                wr_ptr <= {AWIDTH{1'b0}};
 
                last_position <= {AWIDTH{1'b0}};
 
                last_was_write <= 1'b1;
 
 
 
                // NONBLOCKING
 
                end
                end
                else
                else if (wr_en && !f_full)
                begin
                begin
 
                        wr_ptr <= wr_ptr + 1'b1;
 
                end
 
        end
 
 
                        if(wr_en)//WRITE OPERATION
//Read pointer
 
        always@(posedge clock)
                        begin
                        begin
                                mem[wr_ptr] <= data_in; //WRITE TO ARRAY
                if (reset)
                                wr_ptr <= wr_ptr + 11'd1;
                begin
                                last_position <= last_position + 11'd1;
                        rd_ptr <= {(AWIDTH){1'b0}};
 
 
                                last_was_write <= 1'b0;
 
 
 
                                rd_ptr <= {AWIDTH{1'b0}};
 
 
 
                        end
                        end
                        else if(rd_en)// READ OPERATION
                else if (rd_en && !f_empty)
                        begin
                        begin
                                wr_ptr <= {AWIDTH{1'b0}};
                        rd_ptr <= rd_ptr + 1'b1;
 
                end
 
        end
 
 
                                if(rd_ptr != {AWIDTH{1'b1}} && last_position == {AWIDTH{1'b0}})
//Counter
 
        always@(posedge clock)
                                begin
                                begin
                                        rd_ptr <= rd_ptr + 11'd1;
                if (reset)
                                end
 
                                else if(rd_ptr != last_position)
 
                                begin
                                begin
                                        rd_ptr <= rd_ptr + 11'd1;
                        counter <= {(AWIDTH+1){1'b0}};
                                end
                                end
 
                else
                                if(rd_ptr == last_position - 4'b1 || rd_ptr == {AWIDTH{1'b1}})
 
                                begin
                                begin
                                        last_was_write <= 1'b1;
                        if (rd_en && !f_empty && !wr_en)
                                        last_position <= {AWIDTH{1'b0}};
                        begin
 
                                counter <= counter - 1'b1;
                                end
                                end
 
                        else if (wr_en && !f_full && !rd_en)
 
                        begin
 
                                counter <= counter + 1'b1;
                        end
                        end
 
 
                end
                end
 
 
        end
        end
 
 
 
        assign f_full = (counter == DEPTH -1) ; //(!last_was_write | last_position != {AWIDTH{1'b0}} )? 1'b1:1'b0;
 
        assign f_empty = (counter == {AWIDTH{1'b0}}); //(last_was_write)? 1'b1:1'b0;
 
        assign wr_en_ram = wr_en;
 
        assign rd_en_ram = rd_en;
 
        assign data_out = data_ram_out;
 
 
        assign f_full = (!last_was_write | last_position != {AWIDTH{1'b0}} )? 1'b1:1'b0;
dp_ram #(DWIDTH, AWIDTH)
        assign f_empty = (last_was_write)? 1'b1:1'b0;
RAM_1   (
        assign data_out = mem[rd_ptr];//WRITE ON OUTPUT
                .clock(clock),
 
                .reset(reset),
 
                .wr_en(wr_en_ram),
 
                .rd_en(rd_en_ram),
 
                .data_in(data_in),
 
                .wr_addr(wr_ptr),
 
                .data_out(data_ram_out),
 
                .rd_addr(rd_ptr)
 
        );
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.