OpenCores
URL https://opencores.org/ocsvn/astron_pipeline/astron_pipeline/trunk

Subversion Repositories astron_pipeline

[/] [astron_pipeline/] [trunk/] [dp_pipeline_arr.vhd] - Diff between revs 2 and 3

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 3
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Copyright (C) 2015
-- Copyright 2020
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/>
 
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
--
--
-- This program is free software: you can redistribute it and/or modify
-- Licensed under the Apache License, Version 2.0 (the "License");
-- it under the terms of the GNU General Public License as published by
-- you may not use this file except in compliance with the License.
-- the Free Software Foundation, either version 3 of the License, or
-- You may obtain a copy of the License at
-- (at your option) any later version.
 
--
--
-- This program is distributed in the hope that it will be useful,
--     http://www.apache.org/licenses/LICENSE-2.0
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
 
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
-- GNU General Public License for more details.
 
--
--
-- You should have received a copy of the GNU General Public License
-- Unless required by applicable law or agreed to in writing, software
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
-- distributed under the License is distributed on an "AS IS" BASIS,
 
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 
-- See the License for the specific language governing permissions and
 
-- limitations under the License.
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib;
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_1164.all;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
 
 
-- Purpose:
-- Purpose:
--   Pipeline array of g_nof_streams by g_pipeline cycles.
--   Pipeline array of g_nof_streams by g_pipeline cycles.
-- Description:
-- Description:
--   See dp_pipeline.
--   See dp_pipeline.
 
 
ENTITY dp_pipeline_arr IS
ENTITY dp_pipeline_arr IS
  GENERIC (
  GENERIC (
    g_nof_streams : NATURAL := 1;
    g_nof_streams : NATURAL := 1;
    g_pipeline    : NATURAL := 1  -- 0 for wires, > 0 for registers, 
    g_pipeline    : NATURAL := 1  -- 0 for wires, > 0 for registers, 
  );
  );
  PORT (
  PORT (
    rst          : IN  STD_LOGIC;
    rst          : IN  STD_LOGIC;
    clk          : IN  STD_LOGIC;
    clk          : IN  STD_LOGIC;
    -- ST sink
    -- ST sink
    snk_out_arr  : OUT t_dp_siso_arr(g_nof_streams-1 DOWNTO 0);
    snk_out_arr  : OUT t_dp_siso_arr(g_nof_streams-1 DOWNTO 0);
    snk_in_arr   : IN  t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0);
    snk_in_arr   : IN  t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0);
    -- ST source
    -- ST source
    src_in_arr   : IN  t_dp_siso_arr(g_nof_streams-1 DOWNTO 0) := (OTHERS=>c_dp_siso_rdy);
    src_in_arr   : IN  t_dp_siso_arr(g_nof_streams-1 DOWNTO 0) := (OTHERS=>c_dp_siso_rdy);
    src_out_arr  : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0)
    src_out_arr  : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0)
  );
  );
END dp_pipeline_arr;
END dp_pipeline_arr;
 
 
 
 
ARCHITECTURE str OF dp_pipeline_arr IS
ARCHITECTURE str OF dp_pipeline_arr IS
 
 
BEGIN
BEGIN
 
 
  gen_nof_streams : FOR I IN 0 TO g_nof_streams-1 GENERATE
  gen_nof_streams : FOR I IN 0 TO g_nof_streams-1 GENERATE
    u_p : ENTITY work.dp_pipeline
    u_p : ENTITY work.dp_pipeline
    GENERIC MAP (
    GENERIC MAP (
      g_pipeline => g_pipeline
      g_pipeline => g_pipeline
    )
    )
    PORT MAP (
    PORT MAP (
      rst          => rst,
      rst          => rst,
      clk          => clk,
      clk          => clk,
      -- ST sink
      -- ST sink
      snk_out      => snk_out_arr(I),
      snk_out      => snk_out_arr(I),
      snk_in       => snk_in_arr(I),
      snk_in       => snk_in_arr(I),
      -- ST source
      -- ST source
      src_in       => src_in_arr(I),
      src_in       => src_in_arr(I),
      src_out      => src_out_arr(I)
      src_out      => src_out_arr(I)
    );
    );
  END GENERATE;
  END GENERATE;
 
 
END str;
END str;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.