OpenCores
URL https://opencores.org/ocsvn/astron_pipeline/astron_pipeline/trunk

Subversion Repositories astron_pipeline

[/] [astron_pipeline/] [trunk/] [tb_tb_dp_pipeline_ready.vhd] - Diff between revs 2 and 3

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 3
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Copyright (C) 2010
-- Copyright 2020
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/>
 
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
--
--
-- This program is free software: you can redistribute it and/or modify
-- Licensed under the Apache License, Version 2.0 (the "License");
-- it under the terms of the GNU General Public License as published by
-- you may not use this file except in compliance with the License.
-- the Free Software Foundation, either version 3 of the License, or
-- You may obtain a copy of the License at
-- (at your option) any later version.
 
--
--
-- This program is distributed in the hope that it will be useful,
--     http://www.apache.org/licenses/LICENSE-2.0
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
 
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
-- GNU General Public License for more details.
 
--
--
-- You should have received a copy of the GNU General Public License
-- Unless required by applicable law or agreed to in writing, software
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
-- distributed under the License is distributed on an "AS IS" BASIS,
 
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 
-- See the License for the specific language governing permissions and
 
-- limitations under the License.
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
LIBRARY IEEE, dp_pkg_lib;
LIBRARY IEEE, dp_pkg_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_1164.ALL;
USE dp_pkg_lib.tb_dp_pkg.ALL;
USE dp_pkg_lib.tb_dp_pkg.ALL;
 
 
 
 
-- > as 2
-- > as 2
-- > run -all --> OK
-- > run -all --> OK
 
 
ENTITY tb_tb_dp_pipeline_ready IS
ENTITY tb_tb_dp_pipeline_ready IS
END tb_tb_dp_pipeline_ready;
END tb_tb_dp_pipeline_ready;
 
 
 
 
ARCHITECTURE tb OF tb_tb_dp_pipeline_ready IS
ARCHITECTURE tb OF tb_tb_dp_pipeline_ready IS
 
 
  CONSTANT c_nof_repeat : NATURAL := 50;
  CONSTANT c_nof_repeat : NATURAL := 50;
  SIGNAL tb_end : STD_LOGIC := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
  SIGNAL tb_end : STD_LOGIC := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
 
 
BEGIN
BEGIN
 
 
  --                                                               in_en,    src_in.ready, in_latency, out_latency, nof repeat,
  --                                                               in_en,    src_in.ready, in_latency, out_latency, nof repeat,
  -- Random flow control for different RL
  -- Random flow control for different RL
  u_rnd_rnd_0_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          0,           c_nof_repeat);
  u_rnd_rnd_0_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          0,           c_nof_repeat);
  u_rnd_rnd_1_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          0,           c_nof_repeat);
  u_rnd_rnd_1_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          0,           c_nof_repeat);
  u_rnd_rnd_0_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          1,           c_nof_repeat);
  u_rnd_rnd_0_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          1,           c_nof_repeat);
  u_rnd_rnd_2_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          0,           c_nof_repeat);
  u_rnd_rnd_2_0    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          0,           c_nof_repeat);
  u_rnd_rnd_0_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          2,           c_nof_repeat);
  u_rnd_rnd_0_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     0,          2,           c_nof_repeat);
  u_rnd_rnd_2_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          1,           c_nof_repeat);
  u_rnd_rnd_2_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          1,           c_nof_repeat);
  u_rnd_rnd_1_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          2,           c_nof_repeat);
  u_rnd_rnd_1_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          2,           c_nof_repeat);
  u_rnd_rnd_2_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          2,           c_nof_repeat);
  u_rnd_rnd_2_2    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     2,          2,           c_nof_repeat);
 
 
  -- Other flow control for fixed RL
  -- Other flow control for fixed RL
  u_act_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_active,     1,          1,           c_nof_repeat);
  u_act_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_active,     1,          1,           c_nof_repeat);
  u_act_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_random,     1,          1,           c_nof_repeat);
  u_act_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_random,     1,          1,           c_nof_repeat);
  u_act_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_pulse,      1,          1,           c_nof_repeat);
  u_act_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_active, e_pulse,      1,          1,           c_nof_repeat);
 
 
  u_rnd_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_active,     1,          1,           c_nof_repeat);
  u_rnd_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_active,     1,          1,           c_nof_repeat);
  u_rnd_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          1,           c_nof_repeat);
  u_rnd_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_random,     1,          1,           c_nof_repeat);
  u_rnd_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_pulse,      1,          1,           c_nof_repeat);
  u_rnd_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_random, e_pulse,      1,          1,           c_nof_repeat);
 
 
  u_pls_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_active,     1,          1,           c_nof_repeat);
  u_pls_act_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_active,     1,          1,           c_nof_repeat);
  u_pls_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_random,     1,          1,           c_nof_repeat);
  u_pls_rnd_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_random,     1,          1,           c_nof_repeat);
  u_pls_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_pulse,      1,          1,           c_nof_repeat);
  u_pls_pls_1_1    : ENTITY work.tb_dp_pipeline_ready GENERIC MAP (e_pulse,  e_pulse,      1,          1,           c_nof_repeat);
 
 
END tb;
END tb;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.