OpenCores
URL https://opencores.org/ocsvn/async_sdm_noc/async_sdm_noc/trunk

Subversion Repositories async_sdm_noc

[/] [async_sdm_noc/] [branches/] [clos_opt/] [common/] [src/] [ppc.v] - Diff between revs 66 and 67

Only display areas with differences | Details | Blame | View Log

Rev 66 Rev 67
/*
/*
 Asynchronous SDM NoC
 Asynchronous SDM NoC
 (C)2011 Wei Song
 (C)2011 Wei Song
 Advanced Processor Technologies Group
 Advanced Processor Technologies Group
 Computer Science, the Univ. of Manchester, UK
 Computer Science, the Univ. of Manchester, UK
 
 
 Authors:
 Authors:
 Wei Song     wsong83@gmail.com
 Wei Song     wsong83@gmail.com
 
 
 License: LGPL 3.0 or later
 License: LGPL 3.0 or later
 
 
 Pipeline controller
 Pipeline controller
 
 
 References
 References
 See the STG and compiled verilog in sdm/stg/, ibctl.g and ibctl.v
 See the STG and compiled verilog in sdm/stg/, ibctl.g and ibctl.v
 
 
 History:
 History:
 21/06/2009  Initial version. <wsong83@gmail.com>
 21/06/2009  Initial version. <wsong83@gmail.com>
 
 
*/
*/
 
 
module ppc(/*AUTOARG*/
module ppc(/*AUTOARG*/
   // Outputs
   // Outputs
   decan,
   deca, dia,
   // Inputs
   // Inputs
   eof, doa
   eof, doa, dec
   );
   );
   input              eof, doa;
   input              eof, doa, dec;
   wire               deca;     // the ack to eof
   output             deca;     // the ack to eof
   output             decan;    // the ack to routing requests
   output             dia;      // the pipe stage input ack
 
 
   c2p CEoF (.q(deca), .a(doa), .b(eof));
   c2p CEoF (.q(deca), .a(doa), .b(eof));
   assign decan = ~deca;
   c2n CDIA (.q(dia), .a(eof|doa), .b(dec&(~deca)));
 
 
endmodule // ppc
endmodule // ppc
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.