OpenCores
URL https://opencores.org/ocsvn/ata/ata/trunk

Subversion Repositories ata

[/] [ata/] [trunk/] [rtl/] [vhdl/] [ocidec2/] [ro_cnt.vhd] - Diff between revs 31 and 33

Only display areas with differences | Details | Blame | View Log

Rev 31 Rev 33
---------------------------------------------------------------------
---------------------------------------------------------------------
----                                                             ----
----                                                             ----
----  Run-Once Counter                                           ----
----  Run-Once Counter                                           ----
----                                                             ----
----                                                             ----
----  Author: Richard Herveille                                  ----
----  Author: Richard Herveille                                  ----
----          richard@asics.ws                                   ----
----          richard@asics.ws                                   ----
----          www.asics.ws                                       ----
----          www.asics.ws                                       ----
----                                                             ----
----                                                             ----
---------------------------------------------------------------------
---------------------------------------------------------------------
----                                                             ----
----                                                             ----
---- Copyright (C) 2001, 2002 Richard Herveille                  ----
---- Copyright (C) 2001, 2002 Richard Herveille                  ----
----                          richard@asics.ws                   ----
----                          richard@asics.ws                   ----
----                                                             ----
----                                                             ----
---- This source file may be used and distributed without        ----
---- This source file may be used and distributed without        ----
---- restriction provided that this copyright statement is not   ----
---- restriction provided that this copyright statement is not   ----
---- removed from the file and that any derivative work contains ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- the original copyright notice and the associated disclaimer.----
----                                                             ----
----                                                             ----
----     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ----
----     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ----
---- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ----
---- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ----
---- POSSIBILITY OF SUCH DAMAGE.                                 ----
---- POSSIBILITY OF SUCH DAMAGE.                                 ----
----                                                             ----
----                                                             ----
---------------------------------------------------------------------
---------------------------------------------------------------------
 
 
--
--
--  CVS Log
--  CVS Log
--
--
--  $Id: ro_cnt.vhd,v 1.1 2002-03-01 03:49:03 rherveille Exp $
--  $Id: ro_cnt.vhd,v 1.1 2002-03-01 03:49:03 rherveille Exp $
--
--
--  $Date: 2002-03-01 03:49:03 $
--  $Date: 2002-03-01 03:49:03 $
--  $Revision: 1.1 $
--  $Revision: 1.1 $
--  $Author: rherveille $
--  $Author: rherveille $
--  $Locker:  $
--  $Locker:  $
--  $State: Exp $
--  $State: Exp $
--
--
-- Change History:
-- Change History:
--               $Log: not supported by cvs2svn $
--               $Log: not supported by cvs2svn $
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
 
 
entity ro_cnt is
entity ro_cnt is
        generic(
        generic(
                SIZE : natural := 8;
                SIZE : natural := 8;
                UD   : std_logic := '0'; -- default count down
                UD   : std_logic := '0'; -- default count down
                ID   : natural := 0      -- initial data after reset
                ID   : natural := 0      -- initial data after reset
        );
        );
        port(
        port(
                clk    : in  std_logic;                  -- master clock
                clk    : in  std_logic;                  -- master clock
                nReset : in  std_logic := '1';           -- asynchronous active low reset
                nReset : in  std_logic := '1';           -- asynchronous active low reset
                rst    : in  std_logic := '0';           -- synchronous active high reset
                rst    : in  std_logic := '0';           -- synchronous active high reset
 
 
                cnt_en : in  std_logic := '1';           -- count enable
                cnt_en : in  std_logic := '1';           -- count enable
                go     : in  std_logic;                  -- load counter and start sequence
                go     : in  std_logic;                  -- load counter and start sequence
                done   : out std_logic;                  -- done counting
                done   : out std_logic;                  -- done counting
                d      : in  unsigned(SIZE -1 downto 0); -- load counter value
                d      : in  unsigned(SIZE -1 downto 0); -- load counter value
                q      : out unsigned(SIZE -1 downto 0)  -- current counter value
                q      : out unsigned(SIZE -1 downto 0)  -- current counter value
        );
        );
end entity ro_cnt;
end entity ro_cnt;
 
 
architecture structural of ro_cnt is
architecture structural of ro_cnt is
        component ud_cnt is
        component ud_cnt is
        generic(
        generic(
                SIZE : natural := 8;
                SIZE : natural := 8;
                RESD : natural := 0      -- initial data after reset
                RESD : natural := 0      -- initial data after reset
        );
        );
        port(
        port(
                clk    : in  std_logic;                  -- master clock
                clk    : in  std_logic;                  -- master clock
                nReset : in  std_logic := '1';           -- asynchronous active low reset
                nReset : in  std_logic := '1';           -- asynchronous active low reset
                rst    : in  std_logic := '0';           -- synchronous active high reset
                rst    : in  std_logic := '0';           -- synchronous active high reset
 
 
                cnt_en : in  std_logic := '1';           -- count enable
                cnt_en : in  std_logic := '1';           -- count enable
                ud     : in  std_logic := '0';           -- up / not down
                ud     : in  std_logic := '0';           -- up / not down
                nld    : in  std_logic := '1';           -- synchronous active low load
                nld    : in  std_logic := '1';           -- synchronous active low load
                d      : in  unsigned(SIZE -1 downto 0); -- load counter value
                d      : in  unsigned(SIZE -1 downto 0); -- load counter value
                q      : out unsigned(SIZE -1 downto 0); -- current counter value
                q      : out unsigned(SIZE -1 downto 0); -- current counter value
 
 
                rci    : in  std_logic := '1';           -- carry input
                rci    : in  std_logic := '1';           -- carry input
                rco    : out std_logic                   -- carry output
                rco    : out std_logic                   -- carry output
        );
        );
        end component ud_cnt;
        end component ud_cnt;
 
 
        signal rci, rco, nld : std_logic;
        signal rci, rco, nld : std_logic;
begin
begin
        gen_ctrl: process(clk, nReset)
        gen_ctrl: process(clk, nReset)
        begin
        begin
                if (nReset = '0') then
                if (nReset = '0') then
                        rci <= '0';
                        rci <= '0';
                elsif (clk'event and clk = '1') then
                elsif (clk'event and clk = '1') then
                        if (rst = '1') then
                        if (rst = '1') then
                                rci <= '0';
                                rci <= '0';
                        else
                        else
                                rci <= go or (rci and not rco);
                                rci <= go or (rci and not rco);
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 
        nld <= not go;
        nld <= not go;
 
 
        -- hookup counter
        -- hookup counter
        cnt : ud_cnt
        cnt : ud_cnt
                generic map (
                generic map (
                        SIZE => SIZE,
                        SIZE => SIZE,
                        RESD => ID
                        RESD => ID
                )
                )
                port map (
                port map (
                        clk => clk,
                        clk => clk,
                        nReset => nReset,
                        nReset => nReset,
                        rst => rst,
                        rst => rst,
                        cnt_en => cnt_en,
                        cnt_en => cnt_en,
                        ud => UD,
                        ud => UD,
                        nld => nld,
                        nld => nld,
                        D => D,
                        D => D,
                        Q => Q,
                        Q => Q,
                        rci => rci,
                        rci => rci,
                        rco => rco
                        rco => rco
                );
                );
 
 
        done <= rco;
        done <= rco;
end architecture structural;
end architecture structural;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.