OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [LumaChromaParallel/] [mkFrameBuffer.bsv] - Diff between revs 92 and 100

Only display areas with differences | Details | Blame | View Log

Rev 92 Rev 100
// The MIT License
// The MIT License
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Permission is hereby granted, free of charge, to any person obtaining a copy
// Permission is hereby granted, free of charge, to any person obtaining a copy
// of this software and associated documentation files (the "Software"), to deal
// of this software and associated documentation files (the "Software"), to deal
// in the Software without restriction, including without limitation the rights
// in the Software without restriction, including without limitation the rights
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the Software is
// copies of the Software, and to permit persons to whom the Software is
// furnished to do so, subject to the following conditions:
// furnished to do so, subject to the following conditions:
// The above copyright notice and this permission notice shall be included in
// The above copyright notice and this permission notice shall be included in
// all copies or substantial portions of the Software.
// all copies or substantial portions of the Software.
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// THE SOFTWARE.
// THE SOFTWARE.
//**********************************************************************
//**********************************************************************
// Frame Buffer
// Frame Buffer
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//
//
//
//
//
//
package mkFrameBuffer;
package mkFrameBuffer;
import H264Types::*;
import H264Types::*;
import IFrameBuffer::*;
import IFrameBuffer::*;
import RegFile::*;
import RegFile::*;
import GetPut::*;
import GetPut::*;
import ClientServer::*;
import ClientServer::*;
import FIFO::*;
import FIFO::*;
//-----------------------------------------------------------
//-----------------------------------------------------------
// Register file module
// Register file module
//-----------------------------------------------------------
//-----------------------------------------------------------
interface FBRFile2;
interface FBRFile2;
   method Action store( Bit#(FrameBufferSz) addr, Bit#(32) data );
   method Action store( Bit#(FrameBufferSz) addr, Bit#(32) data );
   method Bit#(32) load1( Bit#(FrameBufferSz) addr );
   method Bit#(32) load1( Bit#(FrameBufferSz) addr );
   method Bit#(32) load2( Bit#(FrameBufferSz) addr );
   method Bit#(32) load2( Bit#(FrameBufferSz) addr );
   method Bit#(32) load3( Bit#(FrameBufferSz) addr );
   method Bit#(32) load3( Bit#(FrameBufferSz) addr );
endinterface
endinterface
module mkFBRFile2( FBRFile2 );
module mkFBRFile2( FBRFile2 );
   RegFile#(Bit#(FrameBufferSz),Bit#(32)) rfile <- mkRegFile(0,frameBufferSize);
   RegFile#(Bit#(FrameBufferSz),Bit#(32)) rfile <- mkRegFile(0,frameBufferSize);
   method Action store( Bit#(FrameBufferSz) addr, Bit#(32) data );
   method Action store( Bit#(FrameBufferSz) addr, Bit#(32) data );
      rfile.upd( addr, data );
      rfile.upd( addr, data );
   endmethod
   endmethod
   method Bit#(32) load1( Bit#(FrameBufferSz) addr );
   method Bit#(32) load1( Bit#(FrameBufferSz) addr );
      return rfile.sub(addr);
      return rfile.sub(addr);
   endmethod
   endmethod
   method Bit#(32) load2( Bit#(FrameBufferSz) addr );
   method Bit#(32) load2( Bit#(FrameBufferSz) addr );
      return rfile.sub(addr);
      return rfile.sub(addr);
   endmethod
   endmethod
   method Bit#(32) load3( Bit#(FrameBufferSz) addr );
   method Bit#(32) load3( Bit#(FrameBufferSz) addr );
      return rfile.sub(addr);
      return rfile.sub(addr);
   endmethod
   endmethod
endmodule
endmodule
//----------------------------------------------------------------------
//----------------------------------------------------------------------
// Main module
// Main module
//----------------------------------------------------------------------
//----------------------------------------------------------------------
module mkFrameBuffer( IFrameBuffer );
module mkFrameBuffer( IFrameBuffer );
  //-----------------------------------------------------------
  //-----------------------------------------------------------
  // State
  // State
   FBRFile2 rfile2 <- mkFBRFile2;
   FBRFile2 rfile2 <- mkFBRFile2;
   FIFO#(FrameBufferLoadReq)  loadReqQ1  <- mkFIFO();
   FIFO#(FrameBufferLoadReq)  loadReqQ1  <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ1 <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ1 <- mkFIFO();
   FIFO#(FrameBufferLoadReq)  loadReqQ2  <- mkFIFO();
   FIFO#(FrameBufferLoadReq)  loadReqQ2  <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ2 <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ2 <- mkFIFO();
   FIFO#(FrameBufferLoadReq)  loadReqQ3  <- mkFIFO();
   FIFO#(FrameBufferLoadReq)  loadReqQ3  <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ3 <- mkFIFO();
   FIFO#(FrameBufferLoadResp) loadRespQ3 <- mkFIFO();
   FIFO#(FrameBufferStoreReq) storeReqQ  <- mkFIFO();
   FIFO#(FrameBufferStoreReq) storeReqQ  <- mkFIFO();
   rule loading1 ( loadReqQ1.first() matches tagged FBLoadReq .addrt );
   rule loading1 ( loadReqQ1.first() matches tagged FBLoadReq .addrt );
      if(addrt
      if(addrt
         begin
         begin
            loadRespQ1.enq( tagged FBLoadResp rfile2.load1(addrt) );
            loadRespQ1.enq( tagged FBLoadResp rfile2.load1(addrt) );
            loadReqQ1.deq();
            loadReqQ1.deq();
         end
         end
      else
      else
         $display( "ERROR FrameBuffer: loading1 outside range" );
         $display( "ERROR FrameBuffer: loading1 outside range" );
   endrule
   endrule
   rule loading2 ( loadReqQ2.first() matches tagged FBLoadReq .addrt );
   rule loading2 ( loadReqQ2.first() matches tagged FBLoadReq .addrt );
      $display("Trace FrameBuffer interLumaReq");
      $display("Trace FrameBuffer interLumaReq");
      if(addrt
      if(addrt
         begin
         begin
            loadRespQ2.enq( tagged FBLoadResp rfile2.load2(addrt) );
            loadRespQ2.enq( tagged FBLoadResp rfile2.load2(addrt) );
            loadReqQ2.deq();
            loadReqQ2.deq();
         end
         end
      else
      else
         $display( "ERROR FrameBuffer: loading2 outside range" );
         $display( "ERROR FrameBuffer: loading2 outside range" );
   endrule
   endrule
   rule loading3 ( loadReqQ3.first() matches tagged FBLoadReq .addrt );
   rule loading3 ( loadReqQ3.first() matches tagged FBLoadReq .addrt );
      $display("Trace FrameBuffer interChromaReq");
      $display("Trace FrameBuffer interChromaReq");
      if(addrt
      if(addrt
         begin
         begin
            loadRespQ3.enq( tagged FBLoadResp rfile2.load3(addrt) );
            loadRespQ3.enq( tagged FBLoadResp rfile2.load3(addrt) );
            loadReqQ3.deq();
            loadReqQ3.deq();
         end
         end
      else
      else
         $display( "ERROR FrameBuffer: loading2 outside range" );
         $display( "ERROR FrameBuffer: loading2 outside range" );
   endrule
   endrule
   rule storing ( storeReqQ.first() matches tagged FBStoreReq { addr:.addrt,data:.datat} );
   rule storing ( storeReqQ.first() matches tagged FBStoreReq { addr:.addrt,data:.datat} );
      if(addrt
      if(addrt
         begin
         begin
            rfile2.store(addrt,datat);
            rfile2.store(addrt,datat);
            storeReqQ.deq();
            storeReqQ.deq();
         end
         end
      else
      else
         $display( "ERROR FrameBuffer: storing outside range" );
         $display( "ERROR FrameBuffer: storing outside range" );
   endrule
   endrule
   rule syncing ( loadReqQ1.first() matches tagged FBEndFrameSync &&& loadReqQ2.first() matches tagged FBEndFrameSync &&&
   rule syncing ( loadReqQ1.first() matches tagged FBEndFrameSync &&& loadReqQ2.first() matches tagged FBEndFrameSync &&&
                  loadReqQ3.first() matches tagged FBEndFrameSync &&& storeReqQ.first() matches tagged FBEndFrameSync);
                  loadReqQ3.first() matches tagged FBEndFrameSync &&& storeReqQ.first() matches tagged FBEndFrameSync);
      $display("Trace FrameBuffer: EndOfFrame Sync");
      $display("Trace FrameBuffer: EndOfFrame Sync");
      loadReqQ1.deq();
      loadReqQ1.deq();
      loadReqQ2.deq();
      loadReqQ2.deq();
      loadReqQ3.deq();
      loadReqQ3.deq();
      storeReqQ.deq();
      storeReqQ.deq();
   endrule
   endrule
   rule loadReq1Blocked;
   rule loadReq1Blocked;
     $display("Trace FrameBuffer: check LoadQ1 %h", loadReqQ1.first);
     $display("Trace FrameBuffer: check LoadQ1 %h", loadReqQ1.first);
   endrule
   endrule
   rule loadReq2Blocked;
   rule loadReq2Blocked;
     $display("Trace FrameBuffer: check LoadQ2 %h", loadReqQ2.first);
     $display("Trace FrameBuffer: check LoadQ2 %h", loadReqQ2.first);
   endrule
   endrule
   rule loadReq3Blocked;
   rule loadReq3Blocked;
     $display("Trace FrameBuffer: check LoadQ3 %h", loadReqQ3.first);
     $display("Trace FrameBuffer: check LoadQ3 %h", loadReqQ3.first);
   endrule
   endrule
   interface Server server_load1;
   interface Server server_load1;
      interface Put request   = fifoToPut(loadReqQ1);
      interface Put request   = fifoToPut(loadReqQ1);
      interface Get response  = fifoToGet(loadRespQ1);
      interface Get response  = fifoToGet(loadRespQ1);
   endinterface
   endinterface
   interface Server server_load2;
   interface Server server_load2;
      interface Put request   = fifoToPut(loadReqQ2);
      interface Put request   = fifoToPut(loadReqQ2);
      interface Get response  = fifoToGet(loadRespQ2);
      interface Get response  = fifoToGet(loadRespQ2);
   endinterface
   endinterface
   interface Server server_load3;
   interface Server server_load3;
      interface Put request   = fifoToPut(loadReqQ3);
      interface Put request   = fifoToPut(loadReqQ3);
      interface Get response  = fifoToGet(loadRespQ3);
      interface Get response  = fifoToGet(loadRespQ3);
   endinterface
   endinterface
   interface Put server_store = fifoToPut(storeReqQ);
   interface Put server_store = fifoToPut(storeReqQ);
endmodule
endmodule
endpackage
endpackage
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.