OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkInputGen_nodeblock.bsv] - Diff between revs 85 and 100

Only display areas with differences | Details | Blame | View Log

Rev 85 Rev 100
// The MIT License
// The MIT License
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Permission is hereby granted, free of charge, to any person obtaining a copy
// Permission is hereby granted, free of charge, to any person obtaining a copy
// of this software and associated documentation files (the "Software"), to deal
// of this software and associated documentation files (the "Software"), to deal
// in the Software without restriction, including without limitation the rights
// in the Software without restriction, including without limitation the rights
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the Software is
// copies of the Software, and to permit persons to whom the Software is
// furnished to do so, subject to the following conditions:
// furnished to do so, subject to the following conditions:
// The above copyright notice and this permission notice shall be included in
// The above copyright notice and this permission notice shall be included in
// all copies or substantial portions of the Software.
// all copies or substantial portions of the Software.
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// THE SOFTWARE.
// THE SOFTWARE.
//**********************************************************************
//**********************************************************************
// Input Generator implementation
// Input Generator implementation
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//
//
//
//
package mkInputGen;
package mkInputGen;
import H264Types::*;
import H264Types::*;
import IInputGen::*;
import IInputGen::*;
import RegFile::*;
import RegFile::*;
import FIFO::*;
import FIFO::*;
import Connectable::*;
import Connectable::*;
import GetPut::*;
import GetPut::*;
module mkInputGen( IInputGen );
module mkInputGen( IInputGen );
   RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("foreman_qcif1-5_no_deblock.hex", 0, 7476);
   RegFile#(Bit#(27), Bit#(8)) rfile <- mkRegFileLoad("foreman_qcif1-5_no_deblock.hex", 0, 7476);
   FIFO#(InputGenOT) outfifo <- mkFIFO;
   FIFO#(InputGenOT) outfifo <- mkFIFO;
   Reg#(Bit#(27))    index   <- mkReg(0);
   Reg#(Bit#(27))    index   <- mkReg(0);
   rule output_byte (index < 7477);
   rule output_byte (index < 7477);
      //$display( "ccl0inputbyte %x", rfile.sub(index) );
      //$display( "ccl0inputbyte %x", rfile.sub(index) );
      outfifo.enq(DataByte rfile.sub(index));
      outfifo.enq(DataByte rfile.sub(index));
      index <= index+1;
      index <= index+1;
   endrule
   endrule
   rule end_of_file (index == 7477);
   rule end_of_file (index == 7477);
      //$finish(0);
      //$finish(0);
      outfifo.enq(EndOfFile);
      outfifo.enq(EndOfFile);
   endrule
   endrule
   interface Get ioout = fifoToGet(outfifo);
   interface Get ioout = fifoToGet(outfifo);
endmodule
endmodule
endpackage
endpackage
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.