OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [release/] [mkMemED_bram.bsv] - Diff between revs 85 and 100

Only display areas with differences | Details | Blame | View Log

Rev 85 Rev 100
// The MIT License
// The MIT License
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Permission is hereby granted, free of charge, to any person obtaining a copy
// Permission is hereby granted, free of charge, to any person obtaining a copy
// of this software and associated documentation files (the "Software"), to deal
// of this software and associated documentation files (the "Software"), to deal
// in the Software without restriction, including without limitation the rights
// in the Software without restriction, including without limitation the rights
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the Software is
// copies of the Software, and to permit persons to whom the Software is
// furnished to do so, subject to the following conditions:
// furnished to do so, subject to the following conditions:
// The above copyright notice and this permission notice shall be included in
// The above copyright notice and this permission notice shall be included in
// all copies or substantial portions of the Software.
// all copies or substantial portions of the Software.
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// THE SOFTWARE.
// THE SOFTWARE.
//**********************************************************************
//**********************************************************************
// Memory for Entropy Decoder
// Memory for Entropy Decoder
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//
//
//
//
//
//
package mkMemED;
package mkMemED;
import H264Types::*;
import H264Types::*;
import IMemED::*;
import IMemED::*;
import GetPut::*;
import GetPut::*;
import ClientServer::*;
import ClientServer::*;
import FIFO::*;
import FIFO::*;
import BRAM::*;
import BRAM::*;
//----------------------------------------------------------------------
//----------------------------------------------------------------------
// Main module
// Main module
//----------------------------------------------------------------------
//----------------------------------------------------------------------
module mkMemED(IMemED#(index_size,data_size))
module mkMemED(IMemED#(index_size,data_size))
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
             Bits#(MemResp#(data_size),mRespLen));
             Bits#(MemResp#(data_size),mRespLen));
  //-----------------------------------------------------------
  //-----------------------------------------------------------
  // State
  // State
   BRAM#(Bit#(index_size),Bit#(data_size)) bramfile <- mkBRAM_Full();
   BRAM#(Bit#(index_size),Bit#(data_size)) bramfile <- mkBRAM_Full();
   FIFO#(MemReq#(index_size,data_size)) reqQ  <- mkFIFO();
   FIFO#(MemReq#(index_size,data_size)) reqQ  <- mkFIFO();
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
   rule storing ( reqQ.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
   rule storing ( reqQ.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
      bramfile.write(addrt,datat);
      bramfile.write(addrt,datat);
      reqQ.deq();
      reqQ.deq();
   endrule
   endrule
   rule reading ( reqQ.first() matches tagged LoadReq .addrt );
   rule reading ( reqQ.first() matches tagged LoadReq .addrt );
      bramfile.read_req(addrt);
      bramfile.read_req(addrt);
      reqQ.deq();
      reqQ.deq();
   endrule
   endrule
   rule readresp ( True );
   rule readresp ( True );
      let temp <- bramfile.read_resp;
      let temp <- bramfile.read_resp;
      respQ.enq( LoadResp temp );
      respQ.enq( LoadResp temp );
   endrule
   endrule
   interface Server mem_server;
   interface Server mem_server;
      interface Put request  = fifoToPut(reqQ);
      interface Put request  = fifoToPut(reqQ);
      interface Get response = fifoToGet(respQ);
      interface Get response = fifoToGet(respQ);
   endinterface
   endinterface
endmodule
endmodule
endpackage
endpackage
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.