OpenCores
URL https://opencores.org/ocsvn/bluespec-h264/bluespec-h264/trunk

Subversion Repositories bluespec-h264

[/] [bluespec-h264/] [trunk/] [src/] [mkMemEDDecoupled.bsv] - Diff between revs 83 and 100

Only display areas with differences | Details | Blame | View Log

Rev 83 Rev 100
// The MIT License
// The MIT License
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Copyright (c) 2006-2007 Massachusetts Institute of Technology
// Permission is hereby granted, free of charge, to any person obtaining a copy
// Permission is hereby granted, free of charge, to any person obtaining a copy
// of this software and associated documentation files (the "Software"), to deal
// of this software and associated documentation files (the "Software"), to deal
// in the Software without restriction, including without limitation the rights
// in the Software without restriction, including without limitation the rights
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the Software is
// copies of the Software, and to permit persons to whom the Software is
// furnished to do so, subject to the following conditions:
// furnished to do so, subject to the following conditions:
// The above copyright notice and this permission notice shall be included in
// The above copyright notice and this permission notice shall be included in
// all copies or substantial portions of the Software.
// all copies or substantial portions of the Software.
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
// THE SOFTWARE.
// THE SOFTWARE.
//**********************************************************************
//**********************************************************************
// Memory for Entropy Decoder
// Memory for Entropy Decoder
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//
//
//
//
//
//
import H264Types::*;
import H264Types::*;
import IMemEDDecoupled::*;
import IMemEDDecoupled::*;
import RegFile::*;
import RegFile::*;
import GetPut::*;
import GetPut::*;
import ClientServer::*;
import ClientServer::*;
import FIFO::*;
import FIFO::*;
//----------------------------------------------------------------------
//----------------------------------------------------------------------
// Main module
// Main module
//----------------------------------------------------------------------
//----------------------------------------------------------------------
module mkMemEDDecoupled(IMemEDDecoupled#(index_size,data_size))
module mkMemEDDecoupled(IMemEDDecoupled#(index_size,data_size))
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
   provisos (Bits#(MemReq#(index_size,data_size),mReqLen),
             Bits#(MemResp#(data_size),mRespLen));
             Bits#(MemResp#(data_size),mRespLen));
  //-----------------------------------------------------------
  //-----------------------------------------------------------
  // State
  // State
   RegFile#(Bit#(index_size),Bit#(data_size)) rfile <- mkRegFileWCF(0,fromInteger(valueof(TSub#(TExp#(index_size),1))));
   RegFile#(Bit#(index_size),Bit#(data_size)) rfile <- mkRegFileWCF(0,fromInteger(valueof(TSub#(TExp#(index_size),1))));
   FIFO#(MemReq#(index_size,data_size)) reqQStore  <- mkFIFO();
   FIFO#(MemReq#(index_size,data_size)) reqQStore  <- mkFIFO();
   FIFO#(MemReq#(index_size,data_size)) reqQLoad   <- mkFIFO();
   FIFO#(MemReq#(index_size,data_size)) reqQLoad   <- mkFIFO();
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
   FIFO#(MemResp#(data_size))  respQ <- mkFIFO();
   rule storing ( reqQStore.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
   rule storing ( reqQStore.first() matches tagged StoreReq { addr:.addrt,data:.datat} );
      rfile.upd(addrt,datat);
      rfile.upd(addrt,datat);
      reqQStore.deq();
      reqQStore.deq();
   endrule
   endrule
   rule reading ( reqQLoad.first() matches tagged LoadReq .addrt );
   rule reading ( reqQLoad.first() matches tagged LoadReq .addrt );
      respQ.enq( tagged LoadResp (rfile.sub(addrt)) );
      respQ.enq( tagged LoadResp (rfile.sub(addrt)) );
      reqQLoad.deq();
      reqQLoad.deq();
   endrule
   endrule
   interface Put request_store  = fifoToPut(reqQStore);
   interface Put request_store  = fifoToPut(reqQStore);
   interface Put request_load  = fifoToPut(reqQLoad);
   interface Put request_load  = fifoToPut(reqQLoad);
   interface Get response = fifoToGet(respQ);
   interface Get response = fifoToGet(respQ);
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.