OpenCores
URL https://opencores.org/ocsvn/bluespec-reedsolomon/bluespec-reedsolomon/trunk

Subversion Repositories bluespec-reedsolomon

[/] [bluespec-reedsolomon/] [trunk/] [sw-reedsolomon/] [syndrome.cpp] - Diff between revs 5 and 9

Only display areas with differences | Details | Blame | View Log

Rev 5 Rev 9
//----------------------------------------------------------------------//
//----------------------------------------------------------------------//
// The MIT License 
// The MIT License 
// 
// 
// Copyright (c) 2008 Abhinav Agarwal, Alfred Man Cheuk Ng
// Copyright (c) 2008 Abhinav Agarwal, Alfred Man Cheuk Ng
// Contact: abhiag@gmail.com
// Contact: abhiag@gmail.com
// 
// 
// Permission is hereby granted, free of charge, to any person 
// Permission is hereby granted, free of charge, to any person 
// obtaining a copy of this software and associated documentation 
// obtaining a copy of this software and associated documentation 
// files (the "Software"), to deal in the Software without 
// files (the "Software"), to deal in the Software without 
// restriction, including without limitation the rights to use,
// restriction, including without limitation the rights to use,
// copy, modify, merge, publish, distribute, sublicense, and/or sell
// copy, modify, merge, publish, distribute, sublicense, and/or sell
// copies of the Software, and to permit persons to whom the
// copies of the Software, and to permit persons to whom the
// Software is furnished to do so, subject to the following conditions:
// Software is furnished to do so, subject to the following conditions:
// 
// 
// The above copyright notice and this permission notice shall be
// The above copyright notice and this permission notice shall be
// included in all copies or substantial portions of the Software.
// included in all copies or substantial portions of the Software.
// 
// 
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
// NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
// NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
// HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
// HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
// WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
// WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
// OTHER DEALINGS IN THE SOFTWARE.
// OTHER DEALINGS IN THE SOFTWARE.
//----------------------------------------------------------------------//
//----------------------------------------------------------------------//
 
 
#include "global_rs.h"
#include "global_rs.h"
#include "gf_arith.h"
#include "gf_arith.h"
#include "syndrome.h"
#include "syndrome.h"
 
 
#pragma hls_design
 
// Parameters k and t need to be dynamically used for each packet
// Parameters k and t need to be dynamically used for each packet
// However, this version uses static values for simplicity
// However, this version uses static values for simplicity
 
 
 
// Directive: Synthesize independently
void syndrome (unsigned char k, unsigned char t, unsigned char r[nn], unsigned char s[2*tt])
void syndrome (unsigned char k, unsigned char t, unsigned char r[nn], unsigned char s[2*tt])
{
{
   unsigned char r_temp;
   unsigned char r_temp;
 
 
   #pragma unroll true
   // Directive: Unroll loop maximally
   Syn_Init: for (int j=0; j<2*tt; j++)
   Syn_Init: for (int j=0; j<2*tt; j++)
      s[j]=0;
      s[j]=0;
 
 
   Syn_Outer: for (int i = 0; i < nn; ++ i)
   Syn_Outer: for (int i = 0; i < nn; ++ i)
   {
   {
      r_temp = r[i];
      r_temp = r[i];
 
 
      #pragma unroll true
      // Directive: Unroll loop maximally
      Syn_Inner: for (int j = 0; j < 2*tt; ++ j)
      Syn_Inner: for (int j = 0; j < 2*tt; ++ j)
      {
      {
         //                     cout << "(" << (int) r [j] << ") " 
 
         //          << (int) a << " : " << (int) s [i] << endl;
 
         s[j] = gfmult_hw (s [j], alpha(j+1)) ^ r_temp;
         s[j] = gfmult_hw (s [j], alpha(j+1)) ^ r_temp;
      }
      }
   }
   }
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.