OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

[/] [copyblaze/] [trunk/] [copyblaze/] [sw/] [code/] [pbcc/] [test7/] [Makefile] - Diff between revs 54 and 60

Only display areas with differences | Details | Blame | View Log

Rev 54 Rev 60
# tools paths
# tools paths
DRIVE=/cygdrive/c
DRIVE=/cygdrive/c
PATH_TOP=../../../..
PATH_TOP=../../../..
PATH_TOOL=$(PATH_TOP)/sw/tools
PATH_TOOL=$(PATH_TOP)/sw/tools
PATH_ASM=asm
PATH_ASM=asm
PATH_COMP=comp/pbcc/sdcc
PATH_COMP=comp/pbcc/sdcc
CC=$(PATH_TOOL)/$(PATH_COMP)/bin/sdcc.exe
CC=$(PATH_TOOL)/$(PATH_COMP)/bin/sdcc.exe
AS=$(PATH_TOOL)/$(PATH_ASM)/pBlazASM/pBlazASM/pBlazASM.exe
AS=$(PATH_TOOL)/$(PATH_ASM)/pBlazASM/pBlazASM/pBlazASM.exe
MG=$(PATH_TOOL)/$(PATH_ASM)/pBlazASM/cpBlazeMRG/cpBlazeMRG.exe
MG=$(PATH_TOOL)/$(PATH_ASM)/pBlazASM/cpBlazeMRG/cpBlazeMRG.exe
# output directory
# output directory
DIROUT=$(PATH_TOP)/rtl/vhdl
DIROUT=$(PATH_TOP)/rtl/vhdl/ip/rom
 
 
# remove command
# remove command
RM = rm -f -v
RM = rm -f -v
CP = cp
CP = cp
# Compiler Flags
# Compiler Flags
C_SRC = test7.c
C_SRC = test7.c
CFLAGS = -mpblaze -S
CFLAGS = -mpblaze -S
IFLAGS = -I"$(PATH_TOOL)/$(PATH_COMP)/device/include/pblaze"
IFLAGS = -I"$(PATH_TOOL)/$(PATH_COMP)/device/include/pblaze"
# Assembler Flags
# Assembler Flags
ASM_SRC = *.psm
ASM_SRC = *.psm
AFLAGS = -k -l -x
AFLAGS = -k -l -x
# Merge Flags
# Merge Flags
MGFLAGS =
MGFLAGS =
ENTITY = cp_ROM_Code
ENTITY = cp_ROM_Code
.SUFFIXES : .psm .mem .vhd .psh
.SUFFIXES : .psm .mem .vhd .psh
# ALL
# ALL
all:  clean  $(ENTITY).vhd
all:  clean  $(ENTITY).vhd
# compile #
# compile #
$(ENTITY).psm:  $(C_SRC)
$(ENTITY).psm:  $(C_SRC)
        $(CC) $(C_SRC) $(CFLAGS) $(IFLAGS)
        $(CC) $(C_SRC) $(CFLAGS) $(IFLAGS)
# assemble #
# assemble #
$(ENTITY).mem:  $(ENTITY).psm
$(ENTITY).mem:  $(ENTITY).psm
        $(AS) $(ASM_SRC) $(AFLAGS)
        $(AS) $(ASM_SRC) $(AFLAGS)
        $(CP) *.hex $(ENTITY).hex
        $(CP) *.hex $(ENTITY).hex
# merge #
# merge #
$(ENTITY).vhd:  $(ENTITY).mem
$(ENTITY).vhd:  $(ENTITY).mem
        $(MG) $(MGFLAGS) $(ENTITY).hex
        $(MG) $(MGFLAGS) $(ENTITY).hex
        $(CP) $(ENTITY).vhd $(DIROUT)/$(ENTITY).vhd
        $(CP) $(ENTITY).vhd $(DIROUT)/$(ENTITY).vhd
# clean outputs
# clean outputs
clean:
clean:
        $(RM) *.lst
        $(RM) *.lst
        $(RM) *.mem
        $(RM) *.mem
        $(RM) *.hex
        $(RM) *.hex
        $(RM) *.log
        $(RM) *.log
        $(RM) *.vhd
        $(RM) *.vhd
        $(RM) *.bin
        $(RM) *.bin
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.