OpenCores
URL https://opencores.org/ocsvn/cpu8080/cpu8080/trunk

Subversion Repositories cpu8080

[/] [cpu8080/] [trunk/] [project/] [cpu_tbw.tbw] - Diff between revs 11 and 12

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 11 Rev 12
version 3
version 3
C:/Xilinx/ISEexamples/cpu8080/testbench.v
C:/Xilinx/ISEexamples/cpu8080/testbench.v
testbench
testbench
VERILOG
VERILOG
VERILOG
VERILOG
cpu_tbw.xwv
cpu_tbw.xwv
Clocked
Clocked
-
-
-
-
100000000000
100000000000
ns
ns
GSR:false
GSR:false
PRLD:false
PRLD:false
100000000
100000000
CLOCK_LIST_BEGIN
CLOCK_LIST_BEGIN
clock
clock
100000000
100000000
100000000
100000000
15000000
15000000
15000000
15000000
0
0
RISING
RISING
CLOCK_LIST_END
CLOCK_LIST_END
SIGNAL_LIST_BEGIN
SIGNAL_LIST_BEGIN
addr
addr
clock
clock
b
b
clock
clock
data
data
clock
clock
g
g
clock
clock
hsync_n
hsync_n
clock
clock
inta
inta
clock
clock
intr
intr
clock
clock
junk
junk
clock
clock
r
r
clock
clock
readio
readio
clock
clock
readmem
readmem
clock
clock
reset
reset
clock
clock
vsync_n
vsync_n
clock
clock
waitr
waitr
clock
clock
writeio
writeio
clock
clock
writemem
writemem
clock
clock
SIGNAL_LIST_END
SIGNAL_LIST_END
SIGNALS_NOT_ON_DISPLAY
SIGNALS_NOT_ON_DISPLAY
addr_DIFF
addr_DIFF
b_DIFF
b_DIFF
g_DIFF
g_DIFF
hsync_n_DIFF
hsync_n_DIFF
inta_DIFF
inta_DIFF
intr_DIFF
intr_DIFF
r_DIFF
r_DIFF
readio_DIFF
readio_DIFF
readmem_DIFF
readmem_DIFF
vsync_n_DIFF
vsync_n_DIFF
writeio_DIFF
writeio_DIFF
writemem_DIFF
writemem_DIFF
SIGNALS_NOT_ON_DISPLAY_END
SIGNALS_NOT_ON_DISPLAY_END
MARKER_LIST_BEGIN
MARKER_LIST_BEGIN
MARKER_LIST_END
MARKER_LIST_END
MEASURE_LIST_BEGIN
MEASURE_LIST_BEGIN
MEASURE_LIST_END
MEASURE_LIST_END
SIGNAL_ORDER_BEGIN
SIGNAL_ORDER_BEGIN
clock
clock
intr
intr
reset
reset
waitr
waitr
inta
inta
readio
readio
readmem
readmem
writeio
writeio
writemem
writemem
addr
addr
data
data
b
b
g
g
r
r
hsync_n
hsync_n
vsync_n
vsync_n
SIGNAL_ORDER_END
SIGNAL_ORDER_END
-X-X-X-
-X-X-X-
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.