OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys_top_bit.zip] - Diff between revs 7 and 8

Only display areas with differences | Details | Blame | View Log

Rev 7 Rev 8
PK?B5ܦdebounce_atlys_top.bitMo#}?)ҦPQZ=86ҮSAzs7K$Yy79=E%oA\zkp_@! \>h%ğpF3@*mκzOw>G?|O?O?ǿsG??{{|>Io??8~ }|ßo%of^noLϲu}FKQ/74|$
zrQ/}TaP9%6,
PKF?C͌ܦdebounce_atlys_top.bitMo#}?)ҦPQZ=86ҮAzs7KYy79=E%oA\zkp_@! \>h%pF3@*mκzOw>?ǟ~O?}??'Gҏӻ'?{|w>Io??8|{Ӵ}brG"ow䇃wA*u?/ӳ,EgѳRzKpdx/
?Iǽ^z_˲&/~*kv5TNIÿIo&$uJyh\XQh\/
A(QqE`FQq(mG֟50
fQgM4;i(f7ȣղU,eE(
@t=w j֯`a,(М,
4mRe4q)Y_%Euޮ(
fGQi(Ӥ NYu\[ڋШέ-j֯h;
Юf}EQAӓstVhQ`͎@+v4y4yha6Z1kZܰ2sf7
4xzz2Punي9Qh=tn<&Mr0F+fMV7[`}@Ӭ3F綨'V϶(
>E8<}~]
GQC7ljP~evW((vw7F;wųV 7v1kB8+s.@.k8Vcvvݬf78
2d&81>/6Je֡{hqھ8x
4wc7괽_Σ^Q+6&Ѭiokf[YgfIQ`(\4*b+
f.fxUGvsehRvcu:fwqnj/K{M@(pG1v(N<
4'5/EKWI@stZ7tWVt}Zp@sNfct:(
I~7Q5;5mlky3ߵլC=48
41d5++@Q-kah~p֊|It{wEhRF% %&pcYz5Yzu8;VL6enUy;*ezڛ<6O1sZ_(и"
<`]QVeQ`SlEd_`Qh]_~t^
4k;
_?@Qq(@we5@wا8
l/ӓ({zK>R}2]1tDT)޽DTjDTn.YQDTE6RV':g4-YQ{5L<?_AiGQNMDhEYhVAP`u(<0]
4d8F3@$Y"
|RԳ':tMԳ':p
4n߲FVW
RM"ӱ;ӿA;;>*r>pRͣuvT)LUziz#{y丟{2GEe3J>L/Y]_;a^xj]z2w)ީZɠ7:NyOKtyV\5̼2+ǞuSzTVXtarNΏoѼ8.-׾_Vu[ؚ1oyY      Qƭָ[w     dWumquy4ϩ~:Sڝ1To
U^lִ;*900uӻf)>Yj%}Yz򶞐0DGe:
~[On;?vзL]i*˵>4˝NIkNSoN3(;3֋sQh}=9QY[qjT֡5KZTw,<ȱItGuv+%k;jK]syMW_T}UZ'6_:ʣ@~W<֯CG^͟n-'ټkO160
WmWHw˺7QMPD&ua}m4^Q0Y1{nbݯo7լlj]լlj\%YQcŴkS67oGeJTս
4olT>zQqR[2
xOFKn5T ŻzUYze5q"Cz7(FjDTWQe5q"ta`&_i`V v<5(

ܩ      h]:F6Zj~.h|sdu)YPQ&YPQJԳ'z%
la`ϝp)v3vf֡w֡VM(p1Jtx6zڤQv/GiѴWj_ti~MoiiY?
<˓Y=~vGg骝vŵX1Ul|jjlzPXW~0[F_ΗӋꎧ|Laoq
w52^r(+g⬺Wcq蝝,y'V}dWׇnݲ~N_5i(rn9(ey,Y;hVq~hlKâXETz58:?;?gNR^П.erq'?#N'e~~t8-o]r%״[bqz:ga}|E
(YQNV':GYz4m$G8jDTլljQV':M0kE6YQAPςz@su8<
vy
4@gQ:%('1a#x@F5]:[EuBʣkVD
:QmԳ^_XAE֏
D: $
&tUb>^yE4]9^/aQ.ee~?/;KVpyg8,Ӌc?<WK_n]>Ý;U[B2?S1z/ݹq_ZQ[y~s}JWۊ볕.L8
 
vv>9o\sS"[c>#ϩ}nx=EFsU5GFeQ`ZX_gJ#faFM;,sX^#]η.Y|R_ˣllݿzڦ:?:qHT5a耶~iz~xo
M^dAhR~dHzKhe%+.`D[VF̚6(Ajm\hEfa$Gd͋BE8j֯'QQ:'YN,
 
4Zo(tۋσy~Eі֓l޵wl7N6*O(8o{`
7һQj֡.|
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.