OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [work/] [esoc_port_mal/] [esoc_port_mal.psm] - Diff between revs 41 and 54

Only display areas with differences | Details | Blame | View Log

Rev 41 Rev 54
4%@eP]
/Lu
4-@d
    &




888888888888ieee.std_logic_1164.std_logic_vectortoresoc_port_nr_nr`` clk_controlLL"clk_rgmiimiLL#clk_rgmii_125m2LL$@clk_rgmii_25m25LL%hclk_rgmii_2m52mLL&ctrl_addressessLL'ctrl_rdLL(ctrl_rddataLL)ctrl_waitaiLL*(ctrl_wrLL+Pctrl_wrdataLL,pena_10_LL-eth_modeodeLL.ff_rx_a_emptyptLL/ff_rx_a_fullullLL0ff_rx_dataaLL10ff_rx_dsavsLL2Xff_rx_dvalvLL3ff_rx_eopeoLL4ff_rx_modmoLL5ff_rx_rdyrdLL6ff_rx_sopsoLL7 ff_tx_a_emptyptLL8Hff_tx_a_fullullLL9pff_tx_crc_fwdfwLL:ff_tx_dataaLL;ff_tx_eopeoLL<ff_tx_errerLL=ff_tx_modmoLL>8ff_tx_rdyrdLL?`ff_tx_septyLL@ff_tx_sopsoLLAff_tx_wrenrLLBinbound_dataataLLCinbound_data_fullulLLD(inbound_data_writeiLLEXinbound_headerdLLFinbound_header_writeiteLLGinbound_infonfoLLHinbound_info_writeiLLI     magic_sleep_np_LLJ8 magic_wakeupeupLLK` outbound_dataatLLL outbound_data_readeLLM outbound_infonfLLN outbound_info_emptyLLO

H
outbound_info_readeLLP8
8


resetseLLQh

H
rx_err_statLLR
h


rx_frm_typeLLS

H
set_10_LLT
o


set_1000000LLU

oH
tx_ff_uflowLLV xoff_gengenLLWHxon_genLLXpport_vlan_defaultulLLbforce_vlan_default_in_iLLcforce_vlan_default_outoLLdu3o esoc_port_mal_controlroworkorkieeeeeestdNSXu0hesoc_port_mal_inboundunNSXu1nesoc_port_mal_outbounduNSXu2u
esoc_port_mal_clockNSXesoc_port_malmac:/data/temp/ESoC/Sources/logixa/esoc_port_mal.vhdv&




W  /u

H
?





H

(


@

H

X


x

H




o

H
o
o




oH




 

H




X

H



$

8n/



:n-

d

/
o
;n-

d
o
/
8
<n-

d

/
p
=n - 

d

$/

>n(- (

d
$
,/
 u/

?n0
"u-
@0
d

8/
/
@n<-X<

d
/#u-(
@/

AnH8
$/
xH8
d
 /$u(-P(
P/

BnT-     T

d
4/
X/
0/(%u8-x8
Cn\-

\

d
D/
`/
@/8&uH-H

Dnh8
T/
h8
d
P/H'uX
p/
X
Ent-t

d

x/
d/
Fn|-
|

d
(uh-h
/

Gn-(

d
t/
/
p/h)ux@
Hn-H

d
@x
/

In8
/
h8
d
*u-      8
/

Jn-

d
/
/
/+u-
Kn-

d

/
X
Ln-

d

/
/
Mnh
h
d
/,u@
/
@
Nn-

d

/
/
On-(

d
-u-
/

Pn-H

d
/
/
/.u-

Qn-h

d

/
/
Rn-

d
//u-
/

Sn8
/
8
d
/0u-
/

Tn-

d
/
/
/1u@
Un-

d
@@
/

Vnh
/
h
d
2u-h
 /

Wn$-($

d
/
(/
/3u-
Xn,-H,

d

0/
$/
Yn4- h4

d
 /4u(-(
8/

Zn<-!<

d
4/
@/!
0/(5u8x
[nH8
x8
"H8
d

P/"
D/
\nT-#T

d
6uH-H
X/#

]n\-$\

d
T/
`/$
P/H7uX-0X
^nh

%h
d
d/
p/%
`/X8uh-Xh
_nt-&@t

d

x/&
t/
`n8
p/h9ux-x
'`8
d

/'
/
an-(

d
/x:u-
/(

bn-)

d
/
/)
/;u@
cn-*

d
@
/*

dn8
/
+8
d
<u-
/+

en-,

d
/
/,
/=u- 
fn

-0
d
/
/-
/>ux
gn-.X

d
Hx
/.

hn-/

d
/
//
?u-p
in-0

d

/0
/
jn
/@u- 
1
d

/1
/
kn
/Au- !
2
d

/2
/
ln-3

d
/Bu-!"
/3

mn-4    

d
/!
/4
/Cu@
nn-50    

d
"%@
/5

on-6P    

d
$/"
 /6
Du(-#&@(
pn$-7h    $

d

(/7
4/#
!
0/(Eu8-$'p8
zn0(

8       0(
d
D/$
8/8
@/8FuH
{n<-9    <

d
%(H
@/9

|nD-:    D

d
T/%
H/:
GuX-&)X
;    

;S;
d/&
9^]nm


`/XHuh@

'*@h
n





t/'
n0(
8
Iux-(+     x
8

nP


@
/(
@
/xJu-),H    
nh8X
P

P
/)
n


X
/Ku-*-p    
X

n


`
/*
`
/Lu@
n8X
p
+.       @
p

n       


@
/+
@
Mu-,/    
n       


H

H
/,
n



/Nu

-0       
n





/-
nx       ((
8
Ou-.1
8

n





/.
n@       


 
/Pu-/2P
 

n`       


(

(
//
       
/Qu-04p
&

8


/0
]<D
/Ru

15
<S<

     P^]n





/1
n



Su 

26
n8



 


nX8X

$/2

Tu(-37
nx



(


n



4/3

0/(Uu8-488
n





D/4
nh

@/8VuH-590H


n



T/5

P/HWuX-6>XX
n





d/6
n       


@
`/XXuh-7?xh
@

n8X
P
t/7
P
p/h!
n


X
buxX
X
83Xx
n


`

`
/8
n
p
cu-9#
p

n(


x
/9
x
du-:$
nP8X



/:
np



; 

;X
=;
nx       ((
8
c
buu
8
       
n



X       

       
n

@       

(       
n

P       

p@       
H
       
`
       
&
8        
x
`        

XX       
        ]=
h

 
=S
H       
=
p       
L^
<=$8N\
]n



/<

b=h
n8



=X:=

 cbu    
nX



       

       
nx



0@       

X       
n8X

       

       
        n



x       

       
        
n



       

@       
nh
 
(       
 
X       
n


(
       
(
       

n8


0
@       
0
        
nX


8
XX       
8
h
nx


@
 
@
n       


H
8 
H
n8X

 p 

>:lN
n



/>

b?
n (

?X;?

 cbu    
n@



H       

p       
nh



       

@       
nx       ((
8
       
8
       
n



8x       

`       
n        



       

       
       

       
&
       @ 

        

       X 
]>


 
>S>
8

&^]n



 

XX       
n



h

 
n



        

@;N
n


$
/@
$
bA
 n


,
AX<A
,
cb
u    
!n


x
       
x
@       
"n



h       

       
#n



       

       
$n



h

 
%n       




 

 
&
B<N


/B

c     b

]]]?
W
?
C 
S`
m
CX=C
]]000000000000ieee.std_logic_1164.std_logic_vectortoresoc_port_nr_nr``8mclk_controlLL:clk_rgmiimiLL;clk_rgmii_125m2LL<clk_rgmii_25m25LL=clk_rgmii_2m52mLL>ctrl_addressessLL?0ctrl_rdLL@Pctrl_rddataLLAhctrl_waitaiLLBctrl_wrLLCctrl_wrdataLLDena_10_LLEeth_modeodeLLFff_rx_a_emptyptLLGff_rx_a_fullullLLH8ff_rx_dataaLLIXff_rx_dsavsLLJxff_rx_dvalvLLKff_rx_eopeoLLLff_rx_modmoLLMff_rx_rdyrdLLNff_rx_sopsoLLOff_tx_a_emptyptLLP8ff_tx_a_fullullLLQXff_tx_crc_fwdfwLLRxff_tx_dataaLLSff_tx_eopeoLLTff_tx_errerLLUff_tx_modmoLLVff_tx_rdyrdLLWff_tx_septyLLX8ff_tx_sopsoLLYXff_tx_wrenrLLZxinbound_dataataLL[inbound_data_fullulLL\inbound_data_writeiLL]inbound_headerdLL^inbound_header_writeiteLL_(inbound_infonfoLL`Pinbound_info_writeiLLapmagic_sleep_np_LLbmagic_wakeupeupLLcoutbound_dataatLLdoutbound_data_readeLLeoutbound_infonfLLf outbound_info_emptyLLg@outbound_info_readeLLhhresetseLLirx_err_statLLjrx_frm_typeLLkset_10_LLlset_1000000LLm        tx_ff_uflowLLn  xoff_gengenLLo@ xon_genLLp` port_vlan_defaultulLLzx force_vlan_default_in_iLL{ force_vlan_default_outoLL| u3o esoc_port_mal_controlroworkorkieeeeeestd&
cbuu    
+
bDh
0
DX:D
u0D
buE
esoc_port_mal_inboundun&
EX;E
+
buF
0
FX<F
u1n
c
esoc_port_mal_outboundu&
b
+
W"uGd
0
/G
u2u%
H*/H
esoc_port_mal_clock&
#uI$d
+
/I
0
J* /J
 
$uK(4d
 
,/K
 
L*,0/L
 
%uM8Dd
 
</M
 
N*<@/N
 
&uOHTd
 
L/O
 
P*LP/P
 
'uQXdd
 
`/Q
 
(uRhtd
 
l/R
 
S*lp/S
 
)uTxd
 
/T
 
*uUd
 
/U
 
V*/V
 
+uWd
 
/W
 
X*/X
 
,uYd
 
/Y
 
-uZd
 
/Z
 
[*/[
 
.u\d
 
/\
 
]*/]
 
/u^d
 
/^
 
_*/_
 
0u`d
 
/`
 
a*/a
 
1ubd
 
/b
 
2ucd
 
/c
 
d*/d
 
3ue$d
 
/e
 
f* /f
 
4ug(4d
 
,/g
 
h*,0/h
 
5ui8Dd
 
@/i
 
6ujHTd
 
L/j
 
k*LP/k
 
7ulXdd
 
\/l
 
m*\`/m
 
8unhtd
 
l/n
 
o*lp/o
 
9upxd
 
|/p
 
q*|/q
 
:urd
 
/r
 
s*/s
 
;utd
 
/t
 
<uud
 
/u
 
v*/v
 
=uwd
 
/w
 
x*/x
 
>uyd
 
/y
 
?uzd
 
/z
 
{*/{
 
@u|d
 
/|
 
}*/}
 
Au~d
 
/~
 
*/
 
Bud
 
/
 
*/
 
Cu$d
 
 /
 
Du(4d
 
,/
 
*,0/
 
Eu8Dd
 
</
 
*<@/
 
FuHTd
 
P/
 
GuXdd
 
\/
 
*\`/
 
Huhtd
 
p/
 
Iuxd
 
|/
 
*|/
 
Jud
 
/
 
*/
 
Kud
 
/
 
*/
 
Lud
 
/
 
Mud
 
/
 
*/
 
Nud
 
/
 
Oud
 
/
 
*/
 
Pud
 
/
 
*/
 
Qud
 
/
 
*/
 
Rud
 
/
 
Su$d
 
 /
 
Tu(4d
 
,/
 
*,0/
 
Uu8Dd
 
</
 
*<@/
 
VuHTd
 
L/
 
*LP/
 
WuXdd
 
\/
 
*\`/
 
Xuhtd
 
l/
 
*lp/
 
!
 
buxd
 
/
 
cud
 
/
 
dud
 
/
 
 
 
X=
 
0cbu    
 
u;
 
    
 
u;d
 
    
 
u;t
 
    
 
u;
 
    
 
u;(
 
    
 
u;P
 
    
 
u;p
 
    
 
u;
 
    
 
u;
 
    
 
u;8       
 
    
 
u;`       
 
    
 
u;
 
    
 
u;h
 

 
    
 
u;dH
 
    
 
u;tp
 
    
 
       
 
bh
 
X:
 
 Dcbu    
 
u;
 
    
 
u;
 
    
 
u;
 
    
 
u;0
 
    
 
u;X
 
    
 
u;$
 
    
 
u;4
 
    
 
u;D
 
    
 
u;T
 
    
 
u;d 
 
    
 
u;
 
    
 
u;$
 
    
 
u;4(
 
    
 
u;DX
 
    
 
u;T
 
    
 
u;d
 
    
 
u;t
 
    
 
u;       
 
    
 
u;
 
    
 
u;h
 

 
    
 
u;
 

 
    
 
u;$
 

 
    
 
       
 
 b
 
X!;
 
"Bc!bu    
 
u;
 
    
 
u;tH
 
    
 
u;p
 
    
 
u;
 
    
 
u;
 
    
 
u;
 
    
 
u;
 
    
 
u;8
 
    
 
u;`
 
    
 
u;
 
    
 
u;
 
    
 
u;
 
    
 
u;
 
    
 
u;       
 
    
 
u;       
 
    
 
u;       
 
    
 
u;
 

 
    
 
u;8
 

 
    
 
u;
 
    
 
u;h
 

 
    
 
u;T 
 
    
 
       
 
"b
 
X#<
 
$c#b
u    
 
u;
 
    
 
u;$
 
    
 
u;4@
 
    
 
u;Dh
 
    
 
u;T
 
    
 
        u;
 
    
 
 
u;
 
    
 
u;h
 

 
    
 
u;4
 

 
    
 

u;D
 

 
    
 
       
 
cb
 
W(
 

 
(
 

 
(
 

 
(
 

 
b

 
 
X%`
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.