OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [work/] [esoc_search_engine/] [esoc_search.prw] - Diff between revs 41 and 54

Only display areas with differences | Details | Blame | View Log

Rev 41 Rev 54
4-`888888888888ieee.std_logic_1164.std_logic_vectortorclk_controlLL8clk_searchrLL9ctrl_addressessLL: ctrl_rdLL;Hctrl_rddataLL<hctrl_waitaiLL=ctrl_wrLL>ctrl_wrdataLL?resetseLL@search_eofeLLA search_keykLLBHsearch_port_stalledLLCpsearch_resultulLLDsearch_result_av_avLLEsearch_sofsLLFq_bLLP sa_wrenLLQ@data_baLLR`address_bs_LLSwren_anLLTaddress_as_LLUdata_aaLLVq_aLLWsearch_sa_drop_cntcLLX0search_entry_age_timeimLLY`wrreqreLLZdataataLL[wrfulluLL\rdreqreLL]qreLL^rdemptyLL_0search_sa_overload_cntcLL`PrdusedwLLasearch_entry_age_time_enaenLLbclk_en_LLcesoc_clk_en_gen_divLLdnet_0t_LLe(rden_bnLLfHline__21721u01search_table_addressesssearch_table_dataatsearch_table_qesearch_table_rdendesearch_table_wrenreresoc_search_engine_da_dworkorkieeeeeestd        u1search_aging_tickicsearch_sa_store_emptyptsearch_sa_store_qe_search_sa_store_rd_search_sa_store_wordsrd esoc_search_engine_sa_s u3s esoc_search_engine_controlr u2rwren_bnclockocrden_an esoc_ram_8kx80x u5xsearch_sa_store_de_search_sa_store_fullullsearch_sa_store_wr_%<
4-`888888888888ieee.std_logic_1164.std_logic_vectortorclk_controlLL clk_searchrLL!ctrl_addressessLL" ctrl_rdLL#Hctrl_rddataLL$hctrl_waitaiLL%ctrl_wrLL&ctrl_wrdataLL'resetseLL(search_eofeLL) search_keykLL*Hsearch_port_stalledLL+psearch_resultulLL,search_result_av_avLL-search_sofsLL.q_bLL8 sa_wrenLL9@data_baLL:`address_bs_LL;wren_anLL<address_as_LL=data_aaLL>q_aLL?search_sa_drop_cntcLL@0search_entry_age_timeimLLA`wrreqreLLBdataataLLCwrfulluLLDrdreqreLLEqreLLFrdemptyLLG0search_sa_overload_cntcLLHPrdusedwLLIsearch_entry_age_time_enaenLLJclk_en_LLKesoc_clk_en_gen_divLLLnet_0t_LLM(rden_bnLLNHline__19319u09search_table_addressesssearch_table_dataatsearch_table_qesearch_table_rdendesearch_table_wrenreresoc_search_engine_da_dworkorkieeeeeestd        u1search_aging_tickicsearch_sa_store_emptyptsearch_sa_store_qe_search_sa_store_rd_search_sa_store_wordsrd esoc_search_engine_sa_s u3s esoc_search_engine_controlr u2rwren_bnclockocrden_an esoc_ram_8kx80x u5xsearch_sa_store_de_search_sa_store_fullullsearch_sa_store_wr_
<
esoc_search_engine_sa_store u6aclrclrrdclkclwrclkclwrusedw3
esoc_search_engine_sa_store u6aclrclrrdclkclwrclkclwrusedw
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.