OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [M8_1E.v] - Diff between revs 40 and 72

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 40 Rev 72
`timescale 1ns / 1ps
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Company: 
// Company: 
// Engineer:
// Engineer:
//
//
// Create Date:    11:53:07 12/27/05
// Create Date:    11:53:07 12/27/05
// Design Name:    
// Design Name:    
// Module Name:    M8_1E
// Module Name:    M8_1E
// Project Name:   
// Project Name:   
// Target Device:  
// Target Device:  
// Tool versions:  
// Tool versions:  
// Description:
// Description:
//
//
// Dependencies:
// Dependencies:
// 
// 
// Revision:
// Revision:
// Revision 0.01 - File Created
// Revision 0.01 - File Created
// Additional Comments:
// Additional Comments:
// 
// 
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
module M8_1E(E, S, D, O);
module M8_1E(E, S, D, O);
    input E;
    input E;
    input [2:0] S;
    input [2:0] S;
    input [7:0] D;
    input [7:0] D;
    output O;
    output O;
 
 
         wire M01, M23, M45, M67;
         wire M01, M23, M45, M67;
 
 
         M2_1E  m01(.E(E), .S0(S[0]), .D0(D[0]), .D1(D[1]), .O(M01));
         M2_1E  m01(.E(E), .S0(S[0]), .D0(D[0]), .D1(D[1]), .O(M01));
         M2_1E  m23(.E(E), .S0(S[0]), .D0(D[2]), .D1(D[3]), .O(M23));
         M2_1E  m23(.E(E), .S0(S[0]), .D0(D[2]), .D1(D[3]), .O(M23));
         M2_1E  m45(.E(E), .S0(S[0]), .D0(D[4]), .D1(D[5]), .O(M45));
         M2_1E  m45(.E(E), .S0(S[0]), .D0(D[4]), .D1(D[5]), .O(M45));
         M2_1E  m67(.E(E), .S0(S[0]), .D0(D[6]), .D1(D[7]), .O(M67));
         M2_1E  m67(.E(E), .S0(S[0]), .D0(D[6]), .D1(D[7]), .O(M67));
 
 
         MUXF5_L m03(.LO(M03), .I0(M01), .I1(M23), .S(S[1]));
         MUXF5_L m03(.LO(M03), .I0(M01), .I1(M23), .S(S[1]));
         MUXF5_L m47(.LO(M47), .I0(M45), .I1(M67), .S(S[1]));
         MUXF5_L m47(.LO(M47), .I0(M45), .I1(M67), .S(S[1]));
         MUXF6   m07(.O(O), .I0(M03), .I1(M47), .S(S[2]));
         MUXF6   m07(.O(O), .I0(M03), .I1(M47), .S(S[2]));
 
 
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.