OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [counter.v] - Diff between revs 40 and 72

Only display areas with differences | Details | Blame | View Log

Rev 40 Rev 72
`timescale 1ns / 1ps
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Company: 
// Company: 
// Engineer:
// Engineer:
//
//
// Create Date:    15:53:19 11/22/05
// Create Date:    15:53:19 11/22/05
// Design Name:    
// Design Name:    
// Module Name:    counter
// Module Name:    counter
// Project Name:   
// Project Name:   
// Target Device:  
// Target Device:  
// Tool versions:  
// Tool versions:  
// Description:
// Description:
//
//
// Dependencies:
// Dependencies:
// 
// 
// Revision:
// Revision:
// Revision 0.01 - File Created
// Revision 0.01 - File Created
// Additional Comments:
// Additional Comments:
// 
// 
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
module counter(clk, reset, load, en, value);
module counter(clk, reset, load, en, value);
    input clk;
    input clk;
    input reset;
    input reset;
    input load;
    input load;
    input en;
    input en;
 
 
         parameter WIDTH = 8;
         parameter WIDTH = 8;
    output[WIDTH-1:0] value;
    output[WIDTH-1:0] value;
 
 
         reg [WIDTH-1:0] value;
         reg [WIDTH-1:0] value;
 
 
    always @(posedge clk or posedge reset)
    always @(posedge clk or posedge reset)
       if (reset)
       if (reset)
          value <= 0;
          value <= 0;
       else begin
       else begin
                    if (load)
                    if (load)
             value <= 0;
             value <= 0;
          else if (en)
          else if (en)
             value <= value + 1;
             value <= value + 1;
                 end
                 end
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.