OpenCores
URL https://opencores.org/ocsvn/ethmac10g/ethmac10g/trunk

Subversion Repositories ethmac10g

[/] [ethmac10g/] [tags/] [V10/] [rxcntrlfifo.v] - Diff between revs 40 and 72

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 40 Rev 72
/*******************************************************************************
/*******************************************************************************
*     This file is owned and controlled by Xilinx and must be used             *
*     This file is owned and controlled by Xilinx and must be used             *
*     solely for design, simulation, implementation and creation of            *
*     solely for design, simulation, implementation and creation of            *
*     design files limited to Xilinx devices or technologies. Use              *
*     design files limited to Xilinx devices or technologies. Use              *
*     with non-Xilinx devices or technologies is expressly prohibited          *
*     with non-Xilinx devices or technologies is expressly prohibited          *
*     and immediately terminates your license.                                 *
*     and immediately terminates your license.                                 *
*                                                                              *
*                                                                              *
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
*     FOR A PARTICULAR PURPOSE.                                                *
*     FOR A PARTICULAR PURPOSE.                                                *
*                                                                              *
*                                                                              *
*     Xilinx products are not intended for use in life support                 *
*     Xilinx products are not intended for use in life support                 *
*     appliances, devices, or systems. Use in such applications are            *
*     appliances, devices, or systems. Use in such applications are            *
*     expressly prohibited.                                                    *
*     expressly prohibited.                                                    *
*                                                                              *
*                                                                              *
*     (c) Copyright 1995-2005 Xilinx, Inc.                                     *
*     (c) Copyright 1995-2005 Xilinx, Inc.                                     *
*     All rights reserved.                                                     *
*     All rights reserved.                                                     *
*******************************************************************************/
*******************************************************************************/
// The synopsys directives "translate_off/translate_on" specified below are
// The synopsys directives "translate_off/translate_on" specified below are
// supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity synthesis
// supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).
// tools. Ensure they are correct for your synthesis tool(s).
 
 
// You must compile the wrapper file rxcntrlfifo.v when simulating
// You must compile the wrapper file rxcntrlfifo.v when simulating
// the core, rxcntrlfifo. When compiling the wrapper file, be sure to
// the core, rxcntrlfifo. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
// instructions, please refer to the "CORE Generator Help".
 
 
`timescale 1ns/1ps
`timescale 1ns/1ps
 
 
module rxcntrlfifo(
module rxcntrlfifo(
        clk,
        clk,
        sinit,
        sinit,
        din,
        din,
        wr_en,
        wr_en,
        rd_en,
        rd_en,
        dout,
        dout,
        full,
        full,
        empty);
        empty);
 
 
 
 
input clk;
input clk;
input sinit;
input sinit;
input [7 : 0] din;
input [7 : 0] din;
input wr_en;
input wr_en;
input rd_en;
input rd_en;
output [7 : 0] dout;
output [7 : 0] dout;
output full;
output full;
output empty;
output empty;
 
 
// synopsys translate_off
// synopsys translate_off
 
 
      SYNC_FIFO_V5_0 #(
      SYNC_FIFO_V5_0 #(
                1,      // c_dcount_width
                1,      // c_dcount_width
                0,       // c_enable_rlocs
                0,       // c_enable_rlocs
                0,       // c_has_dcount
                0,       // c_has_dcount
                0,       // c_has_rd_ack
                0,       // c_has_rd_ack
                0,       // c_has_rd_err
                0,       // c_has_rd_err
                0,       // c_has_wr_ack
                0,       // c_has_wr_ack
                0,       // c_has_wr_err
                0,       // c_has_wr_err
                1,      // c_memory_type
                1,      // c_memory_type
                0,       // c_ports_differ
                0,       // c_ports_differ
                1,      // c_rd_ack_low
                1,      // c_rd_ack_low
                1,      // c_rd_err_low
                1,      // c_rd_err_low
                8,      // c_read_data_width
                8,      // c_read_data_width
                2048,   // c_read_depth
                2048,   // c_read_depth
                8,      // c_write_data_width
                8,      // c_write_data_width
                2048,   // c_write_depth
                2048,   // c_write_depth
                1,      // c_wr_ack_low
                1,      // c_wr_ack_low
                1)      // c_wr_err_low
                1)      // c_wr_err_low
        inst (
        inst (
                .CLK(clk),
                .CLK(clk),
                .SINIT(sinit),
                .SINIT(sinit),
                .DIN(din),
                .DIN(din),
                .WR_EN(wr_en),
                .WR_EN(wr_en),
                .RD_EN(rd_en),
                .RD_EN(rd_en),
                .DOUT(dout),
                .DOUT(dout),
                .FULL(full),
                .FULL(full),
                .EMPTY(empty),
                .EMPTY(empty),
                .RD_ACK(),
                .RD_ACK(),
                .WR_ACK(),
                .WR_ACK(),
                .RD_ERR(),
                .RD_ERR(),
                .WR_ERR(),
                .WR_ERR(),
                .DATA_COUNT());
                .DATA_COUNT());
 
 
 
 
// synopsys translate_on
// synopsys translate_on
 
 
// FPGA Express black box declaration
// FPGA Express black box declaration
// synopsys attribute fpga_dont_touch "true"
// synopsys attribute fpga_dont_touch "true"
// synthesis attribute fpga_dont_touch of rxcntrlfifo is "true"
// synthesis attribute fpga_dont_touch of rxcntrlfifo is "true"
 
 
// XST black box declaration
// XST black box declaration
// box_type "black_box"
// box_type "black_box"
// synthesis attribute box_type of rxcntrlfifo is "black_box"
// synthesis attribute box_type of rxcntrlfifo is "black_box"
 
 
endmodule
endmodule
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.