OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [build_proj_afck_8ch] - Diff between revs 37 and 40

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 37 Rev 40
#!/bin/bash
#!/bin/bash
(
(
 cd src
 cd src
 for i in *.rec; do
 for i in *.rec; do
   ./rec_to_pkg.py $i
   ./rec_to_pkg.py $i
 done
 done
)
)
vivado -mode batch -source fade_afck_8ch.tcl
vivado -mode batch -source fade_afck_8ch.tcl
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.