OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [linux/] [Makefile] - Diff between revs 31 and 40

Only display areas with differences | Details | Blame | View Log

Rev 31 Rev 40
ifneq ($(KERNELRELEASE),)
ifneq ($(KERNELRELEASE),)
    obj-m       := fpga_l3_fade.o
    obj-m       := fpga_l3_fade.o
else
else
KDIR    := /lib/modules/$(shell uname -r)/build
KDIR    := /lib/modules/$(shell uname -r)/build
PWD     := $(shell pwd)
PWD     := $(shell pwd)
default:
default:
        $(MAKE) -C $(KDIR) SUBDIRS=$(PWD) modules
        $(MAKE) -C $(KDIR) SUBDIRS=$(PWD) modules
        gcc -O3 -o receiver2t_cmd receiver2t_cmd.c -lpthread
        gcc -O3 -o receiver2t_cmd receiver2t_cmd.c -lpthread
        gcc -O3 -o receiver2t receiver2t.c -lpthread
        gcc -O3 -o receiver2t receiver2t.c -lpthread
        gcc -O3 -o receiver3 receiver3.c
        gcc -O3 -o receiver3 receiver3.c
endif
endif
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.