OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [DFPDivide_tb.v] - Diff between revs 54 and 55

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 54 Rev 55
`timescale 1ns / 1ps
`timescale 1ns / 1ps
// ============================================================================
// ============================================================================
//        __
//        __
//   \\__/ o\    (C) 2006-2020  Robert Finch, Waterloo
//   \\__/ o\    (C) 2006-2020  Robert Finch, Waterloo
//    \  __ /    All rights reserved.
//    \  __ /    All rights reserved.
//     \/_//     robfinch<remove>@finitron.ca
//     \/_//     robfinch<remove>@finitron.ca
//       ||
//       ||
//
//
//      DFPDivider_tb.v
//      DFPDivider_tb.v
//              - decimal floating point divider test bench
//              - decimal floating point divider test bench
//
//
// BSD 3-Clause License
// BSD 3-Clause License
// Redistribution and use in source and binary forms, with or without
// Redistribution and use in source and binary forms, with or without
// modification, are permitted provided that the following conditions are met:
// modification, are permitted provided that the following conditions are met:
//
//
// 1. Redistributions of source code must retain the above copyright notice, this
// 1. Redistributions of source code must retain the above copyright notice, this
//    list of conditions and the following disclaimer.
//    list of conditions and the following disclaimer.
//
//
// 2. Redistributions in binary form must reproduce the above copyright notice,
// 2. Redistributions in binary form must reproduce the above copyright notice,
//    this list of conditions and the following disclaimer in the documentation
//    this list of conditions and the following disclaimer in the documentation
//    and/or other materials provided with the distribution.
//    and/or other materials provided with the distribution.
//
//
// 3. Neither the name of the copyright holder nor the names of its
// 3. Neither the name of the copyright holder nor the names of its
//    contributors may be used to endorse or promote products derived from
//    contributors may be used to endorse or promote products derived from
//    this software without specific prior written permission.
//    this software without specific prior written permission.
//
//
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
//
//
//                                                                          
//                                                                          
//      Floating Point Multiplier / Divider
//      Floating Point Multiplier / Divider
//
//
//      This multiplier/divider handles denormalized numbers.
//      This multiplier/divider handles denormalized numbers.
//      The output format is of an internal expanded representation
//      The output format is of an internal expanded representation
//      in preparation to be fed into a normalization unit, then
//      in preparation to be fed into a normalization unit, then
//      rounding. Basically, it's the same as the regular format
//      rounding. Basically, it's the same as the regular format
//      except the mantissa is doubled in size, the leading two
//      except the mantissa is doubled in size, the leading two
//      bits of which are assumed to be whole bits.
//      bits of which are assumed to be whole bits.
//
//
//
//
// ============================================================================
// ============================================================================
 
 
module DFPDivide_tb();
module DFPDivide_tb();
 
parameter N=33;
reg rst;
reg rst;
reg clk;
reg clk;
reg [15:0] adr;
reg [15:0] adr;
reg [127:0] a,b;
reg [N*4+16+4-1:0] a,b;
wire [127:0] o;
wire [N*4+16+4-1:0] o;
reg [127:0] ad,bd;
reg [N*4+16+4-1:0] ad,bd;
wire [127:0] od;
wire [N*4+16+4-1:0] od;
reg [3:0] rm;
reg [3:0] rm;
wire done;
wire done;
 
 
integer n;
integer n;
reg [127:0] a1, b1;
reg [N*4+16+4-1:0] a1, b1;
reg [39:0] sum_cc;
reg [39:0] sum_cc;
 
 
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
wire [63:0] doubleA = {a[31], a[30], {3{~a[30]}}, a[29:23], a[22:0], {29{1'b0}}};
wire [63:0] doubleB = {b[31], b[30], {3{~b[30]}}, b[29:23], b[22:0], {29{1'b0}}};
wire [63:0] doubleB = {b[31], b[30], {3{~b[30]}}, b[29:23], b[22:0], {29{1'b0}}};
 
 
integer outfile;
integer outfile;
 
 
initial begin
initial begin
        rst = 1'b0;
        rst = 1'b0;
        clk = 1'b0;
        clk = 1'b0;
        adr = 0;
        adr = 0;
        a = $urandom(1);
        a = $urandom(1);
        b = 1;
        b = 1;
        #20 rst = 1;
        #20 rst = 1;
        #50 rst = 0;
        #50 rst = 0;
        #5000000  $fclose(outfile);
        #5000000  $fclose(outfile);
        #10 $finish;
        #10 $finish;
end
end
 
 
always #5
always #5
        clk = ~clk;
        clk = ~clk;
 
 
genvar g;
genvar g;
generate begin : gRand
generate begin : gRand
        for (g = 0; g < 128; g = g + 4) begin
        for (g = 0; g < N*4+16+4; g = g + 4) begin
                always @(posedge clk) begin
                always @(posedge clk) begin
                        a1[g+3:g] <= $urandom() % 10;
                        a1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                        b1[g+3:g] <= $urandom() % 10;
                end
                end
        end
        end
end
end
endgenerate
endgenerate
 
 
reg [9:0] count;
reg [9:0] count;
always @(posedge clk)
always @(posedge clk)
if (rst) begin
if (rst) begin
        adr <= 0;
        adr <= 0;
        count <= 0;
        count <= 0;
        sum_cc = 0;
        sum_cc = 0;
end
end
else
else
begin
begin
  if (adr==0) begin
  if (adr==0) begin
    outfile = $fopen("d:/cores2020/rtf64/v2/rtl/verilog/cpu/fpu/test_bench/DFPDivide_tvo.txt", "wb");
    outfile = $fopen("d:/cores2020/rtf64/v2/rtl/verilog/cpu/fpu/test_bench/DFPDivide_tvo.txt", "wb");
    $fwrite(outfile, "rm ------ A ------  ------- B ------  - DUT Quotient - - SIM Quotient -\n");
    $fwrite(outfile, "rm ------ A ------  ------- B ------  - DUT Quotient - - SIM Quotient -\n");
    sum_cc = 0;
    sum_cc = 0;
  end
  end
        count <= count + 1;
        count <= count + 1;
        if (count > 700)
        if (count > 750)
                count <= 1'd1;
                count <= 1'd1;
        if (count==2) begin
        if (count==2) begin
                a[127:0] <= a1;
                a[N*4+16+4-1:0] <= a1;
                b[127:0] <= b1;
                b[N*4+16+4-1:0] <= b1;
                a[127:124] <= 4'h5;
                a[N*4+16+4-1:N*4+16+4-4] <= 4'h5;
                b[127:124] <= 4'h5;
                b[N*4+16+4-1:N*4+16+4-4] <= 4'h5;
                rm <= adr[15:13];
                rm <= adr[15:13];
                //ad <= memd[adr][63: 0];
                //ad <= memd[adr][63: 0];
                //bd <= memd[adr][127:64];
                //bd <= memd[adr][127:64];
        end
        end
        if (adr==1 && count==2) begin
        if (adr==1 && count==2) begin
                a <= 127'h50000700000000000000000000000000;
                a <= 152'h50000700000000000000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
                b <= 152'h50000200000000000000000000000000000000;
        end
        end
        if (adr==1 && count==2) begin
        if (adr==1 && count==2) begin
                a <= 127'h50000100000000000000000000000000;
                a <= 152'h50000100000000000000000000000000000000;
                b <= 127'h50000300000000000000000000000000;
                b <= 152'h50000300000000000000000000000000000000;
        end
        end
        if (adr==2 && count==2) begin
        if (adr==2 && count==2) begin
                a <= 127'h50000900000000000000000000000000;
                a <= 152'h50000900000000000000000000000000000000;
                b <= 127'h50000200000000000000000000000000;
                b <= 152'h50000200000000000000000000000000000000;
        end
        end
        if (adr==3 && count==2) begin
        if (adr==3 && count==2) begin
                a <= 127'h50000000000000000000000000000000;
                a <= 152'h50000000000000000000000000000000000000;
                b <= 127'h50000000000000000000000000000000;
                b <= 152'h50000000000000000000000000000000000000;
        end
        end
        if (adr==4 && count==2) begin
        if (adr==4 && count==2) begin
                a <= 127'h50001100000000000000000000000000;
                a <= 152'h50001100000000000000000000000000000000;
                b <= 127'h50001100000000000000000000000000;
                b <= 152'h50001100000000000000000000000000000000;
        end
        end
        if (adr==4 && count==2) begin
        if (adr==4 && count==2) begin
                a <= 127'h50000100000000000000000000000000;
                a <= 152'h50000100000000000000000000000000000000;
                b <= 127'h50000300000000000000000000000000;
                b <= 152'h50000300000000000000000000000000000000;
        end
        end
        if (count > 700) begin
        if (count > 750) begin
                sum_cc = sum_cc + u6.u1.u2.clkcnt;
                sum_cc = sum_cc + u6.u1.u2.clkcnt;
          $fwrite(outfile, "%h\t%h\t%h\t%h\t%d\t%f\n", rm, a, b, o, u6.u1.u2.clkcnt, $itor(sum_cc) / $itor(adr));
          $fwrite(outfile, "%h\t%h\t%h\t%h\t%d\t%f\n", rm, a, b, o, u6.u1.u2.clkcnt, $itor(sum_cc) / $itor(adr));
                adr <= adr + 1;
                adr <= adr + 1;
        end
        end
end
end
 
 
//fpMulnr #(64) u1 (clk, 1'b1, a, b, o, rm);//, sign_exe, inf, overflow, underflow);
//fpMulnr #(64) u1 (clk, 1'b1, a, b, o, rm);//, sign_exe, inf, overflow, underflow);
DFPDividenr u6 (
DFPDividenr #(.N(N)) u6 (
  .rst(rst),
  .rst(rst),
  .clk(clk),
  .clk(clk),
  .ce(1'b1),
  .ce(1'b1),
  .ld(count==3),
  .ld(count==3),
  .op(1'b0),
  .op(1'b0),
  .a(a),
  .a(a),
  .b(b),
  .b(b),
  .o(o),
  .o(o),
  .rm(rm),
  .rm(rm),
  .done(done),
  .done(done),
  .sign_exe(),
  .sign_exe(),
  .inf(),
  .inf(),
  .overflow(),
  .overflow(),
  .underflow()
  .underflow()
  );
  );
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.