OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple.ise] - Diff between revs 14 and 18

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 14 Rev 18
PK
PK

__OBJSTORE__/PK

__OBJSTORE__/PK
__OBJSTORE__/Autonym/PK
__OBJSTORE__/Autonym/PK
#__OBJSTORE__/ExpandedNetlistEngine/PK
#__OBJSTORE__/ExpandedNetlistEngine/PK
 __OBJSTORE__/HierarchicalDesign/PK
 __OBJSTORE__/HierarchicalDesign/PK
*__OBJSTORE__/HierarchicalDesign/HDProject/PK
*__OBJSTORE__/HierarchicalDesign/HDProject/PK
է3__OBJSTORE__/HierarchicalDesign/HDProject/HDProjectPK
j}53__OBJSTORE__/HierarchicalDesign/HDProject/HDProjectgpif_com_test_prev_built.ngdPK
1:__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl20/USB_TMC_IPPK
Y::__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl20/gpif_com_testPK
";<<7__OBJSTORE__/HierarchicalDesign/__stored_object_table__(:PK
";<<7__OBJSTORE__/HierarchicalDesign/__stored_object_table__(:PK
__OBJSTORE__/PnAutoRun/PK
__OBJSTORE__/PnAutoRun/PK
__OBJSTORE__/PnAutoRun/Scripts/PK
__OBJSTORE__/PnAutoRun/Scripts/PK
>*__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tclPK
>*__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tclPK
髭1__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTblnamespace eval xilinx {
髭1__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTblnamespace eval xilinx {
namespace eval Dpm {
namespace eval Dpm {
proc GetIseVersion {} {
proc GetIseVersion {} {
   set fsetName "fileset.txt"
   set fsetName "fileset.txt"
   set fsetPath ""
   set fsetPath ""
   # Find the file in the Xilinx environment.
   # Find the file in the Xilinx environment.
   # First, construct the environment path.
   # First, construct the environment path.
   set sep ":"; # Default to UNIX style seperator.
   set sep ":"; # Default to UNIX style seperator.
   if {[string compare -length 7 $::tcl_platform(platform) "windows"] == 0} {
   if {[string compare -length 7 $::tcl_platform(platform) "windows"] == 0} {
      set sep ";"; # Platform is a Windows variant, so use semi-colon.
      set sep ";"; # Platform is a Windows variant, so use semi-colon.
   }
   }
   set xilinxPath $::env(XILINX)
   set xilinxPath $::env(XILINX)
   if [info exists ::env(MYXILINX)] then {
   if [info exists ::env(MYXILINX)] then {
      set xilinxPath [join [list $::env(MYXILINX) $xilinxPath] $sep]
      set xilinxPath [join [list $::env(MYXILINX) $xilinxPath] $sep]
   }
   }
   # Now look in each path of the path until we find a match.
   # Now look in each path of the path until we find a match.
   foreach xilElem [split $xilinxPath $sep] {
   foreach xilElem [split $xilinxPath $sep] {
      set checkPath ${xilElem}/$fsetName
      set checkPath ${xilElem}/$fsetName
      set checkPath [ string map { \\ / } $checkPath ]
      set checkPath [ string map { \\ / } $checkPath ]
      if { [file exists $checkPath] } {
      if { [file exists $checkPath] } {
         set fsetPath $checkPath
         set fsetPath $checkPath
         break
         break
      }
      }
   }
   }
   if { [string equal $fsetPath ""] } {
   if { [string equal $fsetPath ""] } {
      puts "ERROR: Can not determine the ISE software version."
      puts "ERROR: Can not determine the ISE software version."
      return ""
      return ""
   }
   }
   if { [catch { open $fsetPath r } fset] } {
   if { [catch { open $fsetPath r } fset] } {
      puts "ERROR: Could not open $fsetPath: $fset"
      puts "ERROR: Could not open $fsetPath: $fset"
      return ""
      return ""
   }
   }
   # have the file open, scan for the version entry.
   # have the file open, scan for the version entry.
   set sVersion ""
   set sVersion ""
   while { ![eof $fset] } {
   while { ![eof $fset] } {
      set line [gets $fset]
      set line [gets $fset]
      regexp {version=(.*)} $line match sVersion
      regexp {version=(.*)} $line match sVersion
         # The above doesn't stop looking in the file. This assumes that if
         # The above doesn't stop looking in the file. This assumes that if
         # there are multiple version entries, the last one is the one we want.
         # there are multiple version entries, the last one is the one we want.
   }
   }
   close $fset
   close $fset
   return $sVersion
   return $sVersion
}
}
proc CheckForIron {project_name} {
proc CheckForIron {project_name} {
   # Determine if the currently running version of ProjNav is earlier than Jade.
   # Determine if the currently running version of ProjNav is earlier than Jade.
   set version [GetIseVersion]
   set version [GetIseVersion]
   set dotLocation [string first "." $version]
   set dotLocation [string first "." $version]
   set versionBase [string range $version 0 [expr {$dotLocation - 1}]]
   set versionBase [string range $version 0 [expr {$dotLocation - 1}]]
   if {$versionBase < 9} {
   if {$versionBase < 9} {
      # The project file is newer than Iron, so take action to prevent the
      # The project file is newer than Iron, so take action to prevent the
      # file from being corrupted.
      # file from being corrupted.
      # Make the file read-only.
      # Make the file read-only.
      if {[string compare -length 7 $::tcl_platform(platform) "windows"]} {
      if {[string compare -length 7 $::tcl_platform(platform) "windows"]} {
         # The above will return 0 for a match to "windows" or "windows64".
         # The above will return 0 for a match to "windows" or "windows64".
         # This is the non-zero part of the if, for lin and sol.
         # This is the non-zero part of the if, for lin and sol.
         # Change the permissions to turn off writability.
         # Change the permissions to turn off writability.
         file attributes $project_name -permissions a-w
         file attributes $project_name -permissions a-w
      } else {
      } else {
         # On Windows, set file to read-only.
         # On Windows, set file to read-only.
         file attributes $project_name -readonly 1
         file attributes $project_name -readonly 1
      }
      }
      # And tell the user about it.
      # And tell the user about it.
      set messageText "WARNING: This project was last saved with a newer version of Project Navigator.\nThe project file will be made read-only so that it will not be invalidated by this version."
      set messageText "WARNING: This project was last saved with a newer version of Project Navigator.\nThe project file will be made read-only so that it will not be invalidated by this version."
      # In the console window
      # In the console window
      puts $messageText
      puts $messageText
      # And with a GUI message box if possible.
      # And with a GUI message box if possible.
      ::xilinx::Dpm::TOE::loadGuiLibraries
      ::xilinx::Dpm::TOE::loadGuiLibraries
      set iInterface 0
      set iInterface 0
      set messageDisplay 0
      set messageDisplay 0
      if {[catch {
      if {[catch {
         set iInterface [Xilinx::CitP::GetInstance $::xilinx::GuiI::IMessageDlgID]
         set iInterface [Xilinx::CitP::GetInstance $::xilinx::GuiI::IMessageDlgID]
         set messageDisplay [$iInterface GetInterface $::xilinx::GuiI::IMessageDlgID]
         set messageDisplay [$iInterface GetInterface $::xilinx::GuiI::IMessageDlgID]
         if {$messageDisplay != 0} {
         if {$messageDisplay != 0} {
            # Managed to get a component to display a dialog, so use it
            # Managed to get a component to display a dialog, so use it
            set messageTitle "Incompatible Project Version (Newer)"
            set messageTitle "Incompatible Project Version (Newer)"
            set messageType 2
            set messageType 2
               # 2 corresponds to a warning dialog. TclWrapGuiI_Init.cpp doesn't put the enum into Tcl.
               # 2 corresponds to a warning dialog. TclWrapGuiI_Init.cpp doesn't put the enum into Tcl.
            set messageTimeout 300000
            set messageTimeout 300000
               # in milliseconds, 5 minutes
               # in milliseconds, 5 minutes
            set messageReturn [$messageDisplay MessageDlg $messageTitle $messageText $messageType 1 1 $messageTimeout "OK" "" ""]
            set messageReturn [$messageDisplay MessageDlg $messageTitle $messageText $messageType 1 1 $messageTimeout "OK" "" ""]
         }
         }
      } catchResult]} {
      } catchResult]} {
         # There was an error, probably because we aren't in a GUI enviroment.
         # There was an error, probably because we aren't in a GUI enviroment.
      } else {
      } else {
         # All is well.
         # All is well.
      }
      }
      set messageDisplay 0
      set messageDisplay 0
      set iInterface 0
      set iInterface 0
   }
   }
   return 1
   return 1
}
}
}
}
}
}
::xilinx::Dpm::CheckForIronPK
::xilinx::Dpm::CheckForIronPK
__OBJSTORE__/ProjectNavigator/PK
__OBJSTORE__/ProjectNavigator/PK
/__OBJSTORE__/ProjectNavigator/dpm_project_main/PK
/__OBJSTORE__/ProjectNavigator/dpm_project_main/PK
p"?__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_mainPK
p"?__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_mainPK
9
9
F__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTblPK
F__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTblPK
 __OBJSTORE__/ProjectNavigator11/PK
 __OBJSTORE__/ProjectNavigator11/PK
!__OBJSTORE__/ProjectNavigatorGui/PK
!__OBJSTORE__/ProjectNavigatorGui/PK
4!d.__OBJSTORE__/ProjectNavigatorGui/CViewSelectorPK
4!d.__OBJSTORE__/ProjectNavigatorGui/CViewSelectorPK
"z5__OBJSTORE__/ProjectNavigatorGui/CViewSelector_StrTblImplementationPK
"z5__OBJSTORE__/ProjectNavigatorGui/CViewSelector_StrTblImplementationPK
nvAA3__OBJSTORE__/ProjectNavigatorGui/File-SynthesisOnly\PK
nvAA3__OBJSTORE__/ProjectNavigatorGui/File-SynthesisOnly\PK
Oe:__OBJSTORE__/ProjectNavigatorGui/File-SynthesisOnly_StrTblUSB_TMC_IP.vhdPK
4!!:__OBJSTORE__/ProjectNavigatorGui/File-SynthesisOnly_StrTblGECKO3COM_defines.vhdPK
+'??6__OBJSTORE__/ProjectNavigatorGui/Library-SynthesisOnlybPK
+'??6__OBJSTORE__/ProjectNavigatorGui/Library-SynthesisOnlybPK
      ?=__OBJSTORE__/ProjectNavigatorGui/Library-SynthesisOnly_StrTblworkverilogPK
      ?=__OBJSTORE__/ProjectNavigatorGui/Library-SynthesisOnly_StrTblworkverilogPK
'6w@AA7__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-bPK
[AA7__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-PK
rCC@__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_UCFbPK
fAA@__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_UCFbPK
I44G__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_UCF_StrTblConfigure Target DeviceImplement DesignPK
I44G__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_UCF_StrTblConfigure Target DeviceImplement DesignPK
GCCN__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_VHDL_ARCHITECTUREPK
GCCN__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_VHDL_ARCHITECTUREPK
/WWU__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_VHDL_ARCHITECTURE_StrTblCORE GeneratorConfigure Target DeviceImplement DesignAdd Existing SourcePK
/WWU__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-DESUT_VHDL_ARCHITECTURE_StrTblCORE GeneratorConfigure Target DeviceImplement DesignAdd Existing SourcePK
SHH>__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-_StrTblConfigure Target DeviceImplement DesignAdd Existing SourcePK
I44>__OBJSTORE__/ProjectNavigatorGui/Process-SynthesisOnly-_StrTblConfigure Target DeviceImplement DesignPK
m62MMA__OBJSTORE__/ProjectNavigatorGui/Source-SynthesisOnly-AutoCompilePK
mwMMA__OBJSTORE__/ProjectNavigatorGui/Source-SynthesisOnly-AutoCompilePK
22H__OBJSTORE__/ProjectNavigatorGui/Source-SynthesisOnly-AutoCompile_StrTblUSB_TMC_IP - top_core (USB_TMC_IP.vhd)PK
UhhH__OBJSTORE__/ProjectNavigatorGui/Source-SynthesisOnly-AutoCompile_StrTblGECKO3main_v1.ucf (GECKO3main_v1.ucf)GECKO3COM_loopback - loopback (GECKO3COM_loopback.vhd)PK
 __OBJSTORE__/_ProjRepoInternal_/PK
 __OBJSTORE__/_ProjRepoInternal_/PK
__OBJSTORE__/common/PK
__OBJSTORE__/common/PK
__OBJSTORE__/xreport/PK
__OBJSTORE__/xreport/PK
>5__OBJSTORE__/xreport/Gc_RvReportViewer-Current-ModulePK
>5__OBJSTORE__/xreport/Gc_RvReportViewer-Current-ModulePK
L
<__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTblUSB_TMC_IPPK
    <__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTblgpif_com_testPK
TX}}=__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-USB_TMC_IP
TX}}=__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-USB_TMC_IP

 !"#$%&')*+,-./012456789:;<=@4ABCD4EFGHIJKLMNOPQRS4TUBVWXY4Z[\]^_`abcd4efg4hijkl4m4no4pqrstuv4w4xyz{|}4~PK

 !"#$%&')*+,-./012456789:;<=@4ABCD4EFGHIJKLMNOPQRS4TUBVWXY4Z[\]^_`abcd4efg4hijkl4m4no4pqrstuv4w4xyz{|}4~PK
==D__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-USB_TMC_IP_StrTbl 
2009-12-07T10:33:28 USB_TMC_IP Unknown 2009-12-07T10:33:28
==D__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-USB_TMC_IP_StrTbl 
2009-12-07T10:33:28 USB_TMC_IP Unknown 2009-12-07T10:33:28
ێA__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
YU}}@__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-gpif_com_test

 !"#$%&!'()*+,-./01!23456789:;<=>?@A4BCD>E4FGHIJKLMNOPQRS>T4UVWXYZ>[4\]>^_`ab>cde>f4gh>i4jk>!lmn4>o4>pq4rs>tuvw>x4>y4>z{|}>~>4>>>>!PK

 !"#$%&'()*+,-./0123456789:;<=>?@4ABCD4EFGHIJKLMNOPQRS4TUBVWXY4Z[\]^_`abcd4efg4hijkl4m4no4pqrstuv4w4xyz{|}4~PK
$`=`=H__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
Wed, 18 June 2008, 10:00:00 MDT Unknown Unknown
PK
@*n;n;G__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-gpif_com_test_StrTbl 
2010-01-12T12:02:04 gpif_com_test 2010-01-12T11:51:52 2010-01-12T11:31:44
PK

__REGISTRY__/PK
ێA__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
__REGISTRY__/Autonym/PK

 !"#$%&!'()*+,-./01!23456789:;<=>?@A4BCD>E4FGHIJKLMNOPQRS>T4UVWXYZ>[4\]>^_`ab>cde>f4gh>i4jk>!lmn4>o4>pq4rs>tuvw>x4>y4>z{|}>~>4>>>>!PK
__REGISTRY__/Autonym/regkeysPK
$`=`=H__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
Wed, 18 June 2008, 10:00:00 MDT Unknown Unknown
PK
#__REGISTRY__/ExpandedNetlistEngine/PK

__REGISTRY__/PK
*__REGISTRY__/ExpandedNetlistEngine/regkeysPK
__REGISTRY__/Autonym/PK
 __REGISTRY__/HierarchicalDesign/PK
__REGISTRY__/Autonym/regkeysPK
*__REGISTRY__/HierarchicalDesign/HDProject/PK
#__REGISTRY__/ExpandedNetlistEngine/PK
XR1__REGISTRY__/HierarchicalDesign/HDProject/regkeysCommandLine-Map
*__REGISTRY__/ExpandedNetlistEngine/regkeysPK
 __REGISTRY__/HierarchicalDesign/PK
s
*__REGISTRY__/HierarchicalDesign/HDProject/PK
CommandLine-Ngdbuild
BڂRR1__REGISTRY__/HierarchicalDesign/HDProject/regkeysCommandLine-Map
s
s
CommandLine-Par
CommandLine-Ngdbuild
/home/chrigi/bin/11.1/ISE/bin/lin64/unwrapped/ngdbuild -ise GECKO3COM_simple.ise -intstyle ise -dd _ngo -nt timestamp -i -p xc3s4000-fg676-4 gpif_com_test_cs.ngc gpif_com_test.ngd
s
s
CommandLine-Xst
CommandLine-Par
s
s
Previous-NGD
CommandLine-Xst
s
s
Previous-NGM
Previous-NGD
s
s
Previous-Packed-NCD
Previous-NGM
s
s
Previous-Routed-NCD
Previous-Packed-NCD
s
s
PK
Previous-Routed-NCD
'__REGISTRY__/HierarchicalDesign/regkeysPK
__REGISTRY__/ProjectNavigator/PK
s
w##%__REGISTRY__/ProjectNavigator/regkeysISE_VERSION_LAST_SAVED_WITH
PK
11.1
'__REGISTRY__/HierarchicalDesign/regkeysPK
s
__REGISTRY__/ProjectNavigator/PK
PK
w##%__REGISTRY__/ProjectNavigator/regkeysISE_VERSION_LAST_SAVED_WITH
 __REGISTRY__/ProjectNavigator11/PK
11.1
^o6@@'__REGISTRY__/ProjectNavigator11/regkeysISE_VERSION_LAST_SAVED_WITH
s
11.1
PK
s
 __REGISTRY__/ProjectNavigator11/PK
XISE_FILE
9dEE'__REGISTRY__/ProjectNavigator11/regkeysISE_VERSION_LAST_SAVED_WITH
usb_tmc_com.xise
11.1
s
s
PK
XISE_FILE
!__REGISTRY__/ProjectNavigatorGui/PK
GECKO3COM_simple.xise
(__REGISTRY__/ProjectNavigatorGui/regkeysPK
s
__REGISTRY__/XSLTProcess/PK
PK
q33 __REGISTRY__/XSLTProcess/regkeysClientMessageOutputFile
!__REGISTRY__/ProjectNavigatorGui/PK
_xmsgs/XSLTProcess.xmsgs
(__REGISTRY__/ProjectNavigatorGui/regkeysPK
s
__REGISTRY__/XSLTProcess/PK
PK
q33 __REGISTRY__/XSLTProcess/regkeysClientMessageOutputFile
 __REGISTRY__/_ProjRepoInternal_/PK
_xmsgs/XSLTProcess.xmsgs
8H'__REGISTRY__/_ProjRepoInternal_/regkeysISE_VERSION_CREATED_WITH
s
9.1.03i
PK
s
 __REGISTRY__/_ProjRepoInternal_/PK
ISE_VERSION_LAST_SAVED_WITH
8H'__REGISTRY__/_ProjRepoInternal_/regkeysISE_VERSION_CREATED_WITH
11.1
9.1.03i
s
s
LastRepoDir
ISE_VERSION_LAST_SAVED_WITH
/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
11.1
s
s
OBJSTORE_VERSION
LastRepoDir
1.3
/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
s
s
PROJECT_CREATION_TIMESTAMP
OBJSTORE_VERSION
UNINITIALIZED
1.3
s
s
REGISTRY_VERSION
PROJECT_CREATION_TIMESTAMP
1.1
UNINITIALIZED
s
s
REPOSITORY_VERSION
REGISTRY_VERSION
1.1
1.1
s
s
PK
REPOSITORY_VERSION
__REGISTRY__/bitgen/PK
1.1
6..__REGISTRY__/bitgen/regkeysClientMessageOutputFile
s
_xmsgs/bitgen.xmsgs
PK
s
__REGISTRY__/bitgen/PK
PK
6..__REGISTRY__/bitgen/regkeysClientMessageOutputFile
__REGISTRY__/bitinit/PK
_xmsgs/bitgen.xmsgs
Л]//__REGISTRY__/bitinit/regkeysClientMessageOutputFile
s
_xmsgs/bitinit.xmsgs
PK
s
__REGISTRY__/bitinit/PK
PK
Л]//__REGISTRY__/bitinit/regkeysClientMessageOutputFile
__REGISTRY__/common/PK
_xmsgs/bitinit.xmsgs
;-4__REGISTRY__/common/regkeysIncrementalMessagingEnabled
s
false
PK
s
__REGISTRY__/common/PK
MessageCaptureEnabled
;-4__REGISTRY__/common/regkeysIncrementalMessagingEnabled
true
false
s
s
MessageFilterFile
MessageCaptureEnabled
filter.filter
true
s
s
MessageFilteringEnabled
MessageFilterFile
false
filter.filter
s
s
RunOnce
MessageFilteringEnabled
#/PnAutoRun/Scripts/RunOnce_tcl
false
s
s
PK
RunOnce
__REGISTRY__/cpldfit/PK
#/PnAutoRun/Scripts/RunOnce_tcl
S//__REGISTRY__/cpldfit/regkeysClientMessageOutputFile
s
_xmsgs/cpldfit.xmsgs
PK
s
__REGISTRY__/cpldfit/PK
PK
S//__REGISTRY__/cpldfit/regkeysClientMessageOutputFile
__REGISTRY__/dumpngdio/PK
_xmsgs/cpldfit.xmsgs
Nu11__REGISTRY__/dumpngdio/regkeysClientMessageOutputFile
s
_xmsgs/dumpngdio.xmsgs
PK
s
__REGISTRY__/dumpngdio/PK
PK
Nu11__REGISTRY__/dumpngdio/regkeysClientMessageOutputFile
__REGISTRY__/fuse/PK
_xmsgs/dumpngdio.xmsgs
!6,,__REGISTRY__/fuse/regkeysClientMessageOutputFile
s
_xmsgs/fuse.xmsgs
PK
s
__REGISTRY__/fuse/PK
PK
!6,,__REGISTRY__/fuse/regkeysClientMessageOutputFile
__REGISTRY__/hprep6/PK
_xmsgs/fuse.xmsgs
a..__REGISTRY__/hprep6/regkeysClientMessageOutputFile
s
_xmsgs/hprep6.xmsgs
PK
s
__REGISTRY__/hprep6/PK
PK
a..__REGISTRY__/hprep6/regkeysClientMessageOutputFile
__REGISTRY__/idem/PK
_xmsgs/hprep6.xmsgs
,,__REGISTRY__/idem/regkeysClientMessageOutputFile
s
_xmsgs/idem.xmsgs
PK
s
__REGISTRY__/idem/PK
PK
,,__REGISTRY__/idem/regkeysClientMessageOutputFile
__REGISTRY__/libgen/PK
_xmsgs/idem.xmsgs
ħ..__REGISTRY__/libgen/regkeysClientMessageOutputFile
s
_xmsgs/libgen.xmsgs
PK
s
__REGISTRY__/libgen/PK
PK
ħ..__REGISTRY__/libgen/regkeysClientMessageOutputFile
__REGISTRY__/map/PK
_xmsgs/libgen.xmsgs
[++__REGISTRY__/map/regkeysClientMessageOutputFile
s
_xmsgs/map.xmsgs
PK
s
__REGISTRY__/map/PK
PK
[++__REGISTRY__/map/regkeysClientMessageOutputFile
__REGISTRY__/netgen/PK
_xmsgs/map.xmsgs
e6~..__REGISTRY__/netgen/regkeysClientMessageOutputFile
s
_xmsgs/netgen.xmsgs
PK
s
__REGISTRY__/netgen/PK
PK
e6~..__REGISTRY__/netgen/regkeysClientMessageOutputFile
__REGISTRY__/ngc2edif/PK
_xmsgs/netgen.xmsgs
OUś00__REGISTRY__/ngc2edif/regkeysClientMessageOutputFile
s
_xmsgs/ngc2edif.xmsgs
PK
s
__REGISTRY__/ngc2edif/PK
PK
OUś00__REGISTRY__/ngc2edif/regkeysClientMessageOutputFile
__REGISTRY__/ngcbuild/PK
_xmsgs/ngc2edif.xmsgs
E00__REGISTRY__/ngcbuild/regkeysClientMessageOutputFile
s
_xmsgs/ngcbuild.xmsgs
PK
s
__REGISTRY__/ngcbuild/PK
PK
E00__REGISTRY__/ngcbuild/regkeysClientMessageOutputFile
__REGISTRY__/ngdbuild/PK
_xmsgs/ngcbuild.xmsgs
Jx00__REGISTRY__/ngdbuild/regkeysClientMessageOutputFile
s
_xmsgs/ngdbuild.xmsgs
PK
s
__REGISTRY__/ngdbuild/PK
PK
Jx00__REGISTRY__/ngdbuild/regkeysClientMessageOutputFile
__REGISTRY__/par/PK
_xmsgs/ngdbuild.xmsgs
++__REGISTRY__/par/regkeysClientMessageOutputFile
s
_xmsgs/par.xmsgs
PK
s
__REGISTRY__/par/PK
PK
++__REGISTRY__/par/regkeysClientMessageOutputFile
__REGISTRY__/platgen/PK
_xmsgs/par.xmsgs
t//__REGISTRY__/platgen/regkeysClientMessageOutputFile
s
_xmsgs/platgen.xmsgs
PK
s
__REGISTRY__/platgen/PK
PK
t//__REGISTRY__/platgen/regkeysClientMessageOutputFile
__REGISTRY__/runner/PK
_xmsgs/platgen.xmsgs
p7..__REGISTRY__/runner/regkeysClientMessageOutputFile
s
_xmsgs/runner.xmsgs
PK
s
__REGISTRY__/runner/PK
PK
p7..__REGISTRY__/runner/regkeysClientMessageOutputFile
__REGISTRY__/simgen/PK
_xmsgs/runner.xmsgs
9M=..__REGISTRY__/simgen/regkeysClientMessageOutputFile
s
_xmsgs/simgen.xmsgs
PK
s
__REGISTRY__/simgen/PK
PK
9M=..__REGISTRY__/simgen/regkeysClientMessageOutputFile
__REGISTRY__/taengine/PK
_xmsgs/simgen.xmsgs
00__REGISTRY__/taengine/regkeysClientMessageOutputFile
s
_xmsgs/taengine.xmsgs
PK
s
__REGISTRY__/taengine/PK
PK
00__REGISTRY__/taengine/regkeysClientMessageOutputFile
__REGISTRY__/trce/PK
_xmsgs/taengine.xmsgs

s
,,__REGISTRY__/trce/regkeysClientMessageOutputFile
PK
_xmsgs/trce.xmsgs
__REGISTRY__/trce/PK
s

PK
,,__REGISTRY__/trce/regkeysClientMessageOutputFile
__REGISTRY__/tsim/PK
_xmsgs/trce.xmsgs
\-`,,__REGISTRY__/tsim/regkeysClientMessageOutputFile
s
_xmsgs/tsim.xmsgs
PK
s
__REGISTRY__/tsim/PK
PK
\-`,,__REGISTRY__/tsim/regkeysClientMessageOutputFile
__REGISTRY__/vhpcomp/PK
_xmsgs/tsim.xmsgs
Di//__REGISTRY__/vhpcomp/regkeysClientMessageOutputFile
s
_xmsgs/vhpcomp.xmsgs
PK
s
__REGISTRY__/vhpcomp/PK
PK
Di//__REGISTRY__/vhpcomp/regkeysClientMessageOutputFile
__REGISTRY__/vlogcomp/PK
_xmsgs/vhpcomp.xmsgs
]00__REGISTRY__/vlogcomp/regkeysClientMessageOutputFile
s
_xmsgs/vlogcomp.xmsgs
PK
s
__REGISTRY__/vlogcomp/PK
PK
]00__REGISTRY__/vlogcomp/regkeysClientMessageOutputFile
__REGISTRY__/xpwr/PK
_xmsgs/vlogcomp.xmsgs
H,,,__REGISTRY__/xpwr/regkeysClientMessageOutputFile
s
_xmsgs/xpwr.xmsgs
PK
s
__REGISTRY__/xpwr/PK
PK
H,,,__REGISTRY__/xpwr/regkeysClientMessageOutputFile
__REGISTRY__/xreport/PK
_xmsgs/xpwr.xmsgs
__REGISTRY__/xreport/regkeysPK
s
__REGISTRY__/xst/PK
PK
++__REGISTRY__/xst/regkeysClientMessageOutputFile
__REGISTRY__/xreport/PK
_xmsgs/xst.xmsgs
__REGISTRY__/xreport/regkeysPK
s
__REGISTRY__/xst/PK
PK
++__REGISTRY__/xst/regkeysClientMessageOutputFile
VversionREPOSITORY_VERSION
_xmsgs/xst.xmsgs
1.1
s
REGISTRY_VERSION
PK
1.1
VversionREPOSITORY_VERSION
OBJSTORE_VERSION
1.1
1.3
REGISTRY_VERSION
ISE_VERSION_CREATED_WITH
1.1
9.1.03i
OBJSTORE_VERSION
ISE_VERSION_LAST_SAVED_WITH
1.3
11.1
ISE_VERSION_CREATED_WITH
 
9.1.03i
 
ISE_VERSION_LAST_SAVED_WITH
 
11.1
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.