OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [tags/] [P0/] [rtl/] [benches/] [TB_ALU.vhd] - Diff between revs 3 and 5

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 5
 
 
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
 
 
 
 
ENTITY TB_ALU IS
ENTITY TB_ALU IS
END TB_ALU;
END TB_ALU;
 
 
ARCHITECTURE behavior OF TB_ALU IS
ARCHITECTURE behavior OF TB_ALU IS
 
 
    COMPONENT Alu
    COMPONENT Alu
    PORT(
    PORT(
         A : IN  std_logic_vector(7 downto 0);
         A : IN  std_logic_vector(7 downto 0);
         B : IN  std_logic_vector(7 downto 0);
         B : IN  std_logic_vector(7 downto 0);
         op : IN  std_logic;
         op : IN  std_logic;
         S : OUT  std_logic_vector(7 downto 0)
         S : OUT  std_logic_vector(7 downto 0)
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal A : std_logic_vector(7 downto 0) := (others => '0');
   signal A : std_logic_vector(7 downto 0) := (others => '0');
   signal B : std_logic_vector(7 downto 0) := (others => '0');
   signal B : std_logic_vector(7 downto 0) := (others => '0');
   signal op : std_logic := '0';
   signal op : std_logic := '0';
 
 
        --Outputs
        --Outputs
   signal S : std_logic_vector(7 downto 0);
   signal S : std_logic_vector(7 downto 0);
 
 
BEGIN
BEGIN
 
 
   uut: Alu PORT MAP (
   uut: Alu PORT MAP (
          A => A,
          A => A,
          B => B,
          B => B,
          op => op,
          op => op,
          S => S
          S => S
        );
        );
        A <= X"05" after 10 ns , X"0F" after 30 ns;
        A <= X"05" after 10 ns , X"0F" after 30 ns;
        B <= X"0A" after 10 ns , X"08" after 30 ns;
        B <= X"0A" after 10 ns , X"08" after 30 ns;
        op <= '1' after 20 ns , '0' after 40 ns;
        op <= '1' after 20 ns , '0' after 40 ns;
 
 
END;
END;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.