OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Diff between revs 13 and 15

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 13 Rev 15
quit -sim
quit -sim
vlib altera
vlib altera
vdel -lib altera -all
vdel -lib altera -all
vlib work
vlib work
vdel -lib work -all
vdel -lib work -all
vlib altera
vlib altera
vlog -work altera altera_mf.v
vlog -work altera altera_mf.v
vlib work
vlib work
vlog -work work ../../rtl/tsu/tsu_queue.v
vlog -work work ../../rtl/tsu/tsu.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_parser.v
vlog -work work ../../rtl/tsu/ptp_queue.v
vlog -work work ../../rtl/tsu/ptp_queue.v
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_rx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work gmii_tx_bfm.v
vlog -work work tsu_queue_tb.v
vlog -work work tsu_queue_tb.v
vsim -novopt -L altera work.tsu_queue_tb
vsim -novopt -L altera work.tsu_queue_tb
log -r */*
log -r */*
radix -hexadecimal
radix -hexadecimal
do wave.do
do wave.do
run -all
run -all
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.