OpenCores
URL https://opencores.org/ocsvn/instruction_list_pipelined_processor_with_peripherals/instruction_list_pipelined_processor_with_peripherals/trunk

Subversion Repositories instruction_list_pipelined_processor_with_peripherals

[/] [instruction_list_pipelined_processor_with_peripherals/] [trunk/] [hdl/] [pgmCounter.v] - Diff between revs 9 and 10

Only display areas with differences | Details | Blame | View Log

Rev 9 Rev 10
 
////////////////////////////////////////////////////////////////////////////////////////////////
 
////                                                                                                                    ////
 
////                                                                                                                    ////
 
////    This file is part of the project                                                                                        ////
 
////    "instruction_list_pipelined_processor_with_peripherals"                                                         ////
 
////                                                                                                                    ////
 
////  http://opencores.org/project,instruction_list_pipelined_processor_with_peripherals        ////
 
////                                                                                                                    ////
 
////                                                                                                                    ////
 
////                             Author:                                                                                ////
 
////                            - Mahesh Sukhdeo Palve                                                                                                  ////
 
////                                                                                                                                                                            ////
 
////////////////////////////////////////////////////////////////////////////////////////////////
 
////////////////////////////////////////////////////////////////////////////////////////////////
 
////                                                                                                                                                                            ////
 
////                                                                                                                                                            ////
 
////                                                                                                                    ////
 
////                                    This source file may be used and distributed without                    ////
 
////                                    restriction provided that this copyright statement is not               ////
 
////                                    removed from the file and that any derivative work contains             ////
 
////                                    the original copyright notice and the associated disclaimer.            ////
 
////                                                                                                                    ////
 
////                                    This source file is free software; you can redistribute it              ////
 
////                                    and/or modify it under the terms of the GNU Lesser General              ////
 
////                                    Public License as published by the Free Software Foundation;            ////
 
////                                    either version 2.1 of the License, or (at your option) any              ////
 
////                                    later version.                                                          ////
 
////                                                                                                                    ////
 
////                                    This source is distributed in the hope that it will be                  ////
 
////                                    useful, but WITHOUT ANY WARRANTY; without even the implied              ////
 
////                                    warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR                 ////
 
////                                    PURPOSE.  See the GNU Lesser General Public License for more            ////
 
////                                    details.                                                                ////
 
////                                                                                                                    ////
 
////                                    You should have received a copy of the GNU Lesser General               ////
 
////                                    Public License along with this source; if not, download it              ////
 
////                                    from http://www.opencores.org/lgpl.shtml                                ////
 
////                                                                                                                    ////
 
////////////////////////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
`include "defines.v"
`include "defines.v"
 
 
 
 
module pgmCounter (clk, reset, branch, pcIn, pcOut);
module pgmCounter (clk, reset, branch, pcIn, pcOut);
 
 
        input clk, reset, branch;
        input clk, reset, branch;
        input [`instAddrLen-1:0] pcIn;
        input [`instAddrLen-1:0] pcIn;
 
 
        output [`instAddrLen-1:0] pcOut;
        output [`instAddrLen-1:0] pcOut;
 
 
        reg [`instAddrLen-1:0] pcOut = `instAddrLen'b0;
        reg [`instAddrLen-1:0] pcOut = `instAddrLen'b0;
 
 
        always @ (posedge clk)
        always @ (posedge clk)
        begin
        begin
 
 
                if (reset)
                if (reset)
                begin
                begin
                        pcOut = `instAddrLen'b0;
                        pcOut = `instAddrLen'b0;
                        $write ("\nprogram counter module is reset. Starting at address 00h     ");
                        $write ("\nprogram counter module is reset. Starting at address 00h     ");
                end
                end
 
 
                else
                else
                begin
                begin
 
 
                        if(branch)
                        if(branch)
                        begin
                        begin
                                pcOut = pcIn;
                                pcOut = pcIn;
                                $write ("\nbranching at address %h", pcIn);
                                $write ("\nbranching at address %h", pcIn);
                        end
                        end
                        else
                        else
                        begin
                        begin
                                pcOut = pcOut + 1'b1;
                                pcOut = pcOut + 1'b1;
                        end
                        end
                end
                end
        end     // end always
        end     // end always
 
 
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.