OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [sources/] [Uart.v] - Diff between revs 10 and 11

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 10 Rev 11
`timescale 1ns / 1ps
/*
 
Author: Sebastien Riou (acapola)
 
Creation date: 23:57:02 08/31/2010
 
 
 
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
 
$LastChangedBy: acapola $
 
$LastChangedRevision: 11 $
 
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/sources/Uart.v $
 
 
 
This file is under the BSD licence:
 
Copyright (c) 2011, Sebastien Riou
 
 
 
All rights reserved.
 
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
 
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
 
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
 
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
 
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
 
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
 
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
 
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
 
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
 
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
 
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
 
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
*/
`default_nettype none
`default_nettype none
//////////////////////////////////////////////////////////////////////////////////
`timescale 1ns / 1ps
// Company: 
 
// Engineer: Sebastien Riou
/*
// 
Half duplex UART with 1 byte buffer
// Create Date:    23:57:02 08/31/2010 
*/
// Design Name: 
module BasicHalfDuplexUart
// Module Name:    Uart 
#(//parameters to override
// Project Name: 
        parameter DIVIDER_WIDTH = 1,
// Target Devices: 
        parameter CLOCK_PER_BIT_WIDTH = 13,     //allow to support default speed of ISO7816
// Tool versions: 
        //invert the polarity of the output or not
// Description: Half duplex UART with 1 byte buffer
        parameter IN_POLARITY = 1'b0,
//
        parameter PARITY_POLARITY = 1'b1,
// Dependencies: 
        //default conventions
//
        parameter START_BIT = 1'b0,
// Revision: 
        parameter STOP_BIT1 = 1'b1,
// Revision 0.01 - File Created
        parameter STOP_BIT2 = 1'b1
// Additional Comments: 
)
//
(
//////////////////////////////////////////////////////////////////////////////////
 
module BasicHalfDuplexUart(
 
    output wire [7:0] rxData,
    output wire [7:0] rxData,
    output wire overrunErrorFlag,       //new data has been received before dataOut was read
    output wire overrunErrorFlag,       //new data has been received before dataOut was read
    output wire dataOutReadyFlag,       //new data available
    output wire dataOutReadyFlag,       //new data available
    output wire frameErrorFlag,         //bad parity or bad stop bits
    output wire frameErrorFlag,         //bad parity or bad stop bits
    output wire txRun,                                  //tx is started
    output wire txRun,                                  //tx is started
    output wire endOfRx,           //one cycle pulse: 1 during last cycle of last stop bit of rx
    output wire endOfRx,           //one cycle pulse: 1 during last cycle of last stop bit of rx
    output wire rxRun,                                  //rx is definitely started, one of the three flag will be set
    output wire rxRun,                                  //rx is definitely started, one of the three flag will be set
    output wire rxStartBit,                     //rx is started, but we don't know yet if real rx or just a glitch
    output wire rxStartBit,                     //rx is started, but we don't know yet if real rx or just a glitch
    output wire txFull,
    output wire txFull,
    output wire isTx,              //1 only when tx is ongoing. Indicates the direction of the com line.
    output wire isTx,              //1 only when tx is ongoing. Indicates the direction of the com line.
 
 
         input wire serialIn,                           //signals to merged into a inout signal according to "isTx"
         input wire serialIn,                           //signals to merged into a inout signal according to "isTx"
         output wire serialOut,
         output wire serialOut,
         output wire comClk,
         output wire comClk,
 
 
    input wire [DIVIDER_WIDTH-1:0] clkPerCycle,
    input wire [DIVIDER_WIDTH-1:0] clkPerCycle,
         input wire [7:0] txData,
         input wire [7:0] txData,
         input wire [CLOCK_PER_BIT_WIDTH-1:0] clocksPerBit,
         input wire [CLOCK_PER_BIT_WIDTH-1:0] clocksPerBit,
         input wire stopBit2,//0: 1 stop bit, 1: 2 stop bits
         input wire stopBit2,//0: 1 stop bit, 1: 2 stop bits
         input wire oddParity, //if 1, parity bit is such that data+parity have an odd number of 1
         input wire oddParity, //if 1, parity bit is such that data+parity have an odd number of 1
    input wire msbFirst,  //if 1, bits order is: startBit, b7, b6, b5...b0, parity
    input wire msbFirst,  //if 1, bits order is: startBit, b7, b6, b5...b0, parity
         input wire startTx,
         input wire startTx,
         input wire ackFlags,
         input wire ackFlags,
         input wire clk,
         input wire clk,
    input wire nReset
    input wire nReset
    );
    );
 
 
//parameters to override
 
parameter DIVIDER_WIDTH = 1;
 
parameter CLOCK_PER_BIT_WIDTH = 13;     //allow to support default speed of ISO7816
 
//invert the polarity of the output or not
 
parameter IN_POLARITY = 1'b0;
 
parameter PARITY_POLARITY = 1'b1;
 
//default conventions
 
parameter START_BIT = 1'b0;
 
parameter STOP_BIT1 = 1'b1;
 
parameter STOP_BIT2 = 1'b1;
 
 
 
//constant definition for states
//constant definition for states
localparam IDLE_STATE =         3'b000;
localparam IDLE_STATE =         3'b000;
localparam RX_STATE =   3'b001;
localparam RX_STATE =   3'b001;
localparam TX_STATE =   3'b011;
localparam TX_STATE =   3'b011;
 
 
wire rxSerialIn = isTx ? STOP_BIT1 : serialIn;
wire rxSerialIn = isTx ? STOP_BIT1 : serialIn;
//wire serialOut;
//wire serialOut;
wire loadDataIn;
wire loadDataIn;
 
 
wire txStopBits;
wire txStopBits;
 
 
assign isTx = txRun & ~txStopBits;
assign isTx = txRun & ~txStopBits;
//let this to top level to avoid inout signal
//let this to top level to avoid inout signal
//assign serialLine = isTx ? serialOut : 1'bz;
//assign serialLine = isTx ? serialOut : 1'bz;
 
 
assign loadDataIn = startTx & ~rxStartBit & (~rxRun | endOfRx);
assign loadDataIn = startTx & ~rxStartBit & (~rxRun | endOfRx);
 
 
/*//complicated approach... instead we can simply divide the clock at lower levels
/*//complicated approach... instead we can simply divide the clock at lower levels
wire useEarlyComClk = |clkPerCycle ? 1'b1:1'b0;
wire useEarlyComClk = |clkPerCycle ? 1'b1:1'b0;
reg dividedClk;
reg dividedClk;
wire earlyComClk;//earlier than comClk by 1 cycle of clk (use to make 1 cycle pulse signals)
wire earlyComClk;//earlier than comClk by 1 cycle of clk (use to make 1 cycle pulse signals)
always @(posedge clk)begin
always @(posedge clk)begin
        if(useEarlyComClk)
        if(useEarlyComClk)
                dividedClk <= earlyComClk;
                dividedClk <= earlyComClk;
end
end
assign comClk=useEarlyComClk ? dividedClk : clk;//clock for communication
assign comClk=useEarlyComClk ? dividedClk : clk;//clock for communication
wire endOfRxComClk;//pulse of 1 cycle of comClk
wire endOfRxComClk;//pulse of 1 cycle of comClk
assign endOfRx = useEarlyComClk ? endOfRxComClk & earlyComClk & ~comClk : endOfRxComClk;//pulse of 1 cycle of clk
assign endOfRx = useEarlyComClk ? endOfRxComClk & earlyComClk & ~comClk : endOfRxComClk;//pulse of 1 cycle of clk
ClkDivider #(.DIVIDER_WIDTH(DIVIDER_WIDTH))
ClkDivider #(.DIVIDER_WIDTH(DIVIDER_WIDTH))
        clkDivider(
        clkDivider(
                .nReset(nReset),
                .nReset(nReset),
                .clk(clk),
                .clk(clk),
                .divider(clkPerCycle),
                .divider(clkPerCycle),
                .dividedClk(earlyComClk)
                .dividedClk(earlyComClk)
                );
                );
*/
*/
wire stopBit;
wire stopBit;
// Instantiate the module
// Instantiate the module
RxCoreSelfContained #(
RxCoreSelfContained #(
                .DIVIDER_WIDTH(DIVIDER_WIDTH),
                .DIVIDER_WIDTH(DIVIDER_WIDTH),
                .CLOCK_PER_BIT_WIDTH(CLOCK_PER_BIT_WIDTH)
                .CLOCK_PER_BIT_WIDTH(CLOCK_PER_BIT_WIDTH)
                )
                )
        rxCore (
        rxCore (
    .dataOut(rxData),
    .dataOut(rxData),
    .overrunErrorFlag(overrunErrorFlag),
    .overrunErrorFlag(overrunErrorFlag),
    .dataOutReadyFlag(dataOutReadyFlag),
    .dataOutReadyFlag(dataOutReadyFlag),
    .frameErrorFlag(frameErrorFlag),
    .frameErrorFlag(frameErrorFlag),
    .endOfRx(endOfRx),
    .endOfRx(endOfRx),
    .run(rxRun),
    .run(rxRun),
    .startBit(rxStartBit),
    .startBit(rxStartBit),
         .stopBit(stopBit),
         .stopBit(stopBit),
    .clkPerCycle(clkPerCycle),
    .clkPerCycle(clkPerCycle),
    .clocksPerBit(clocksPerBit),
    .clocksPerBit(clocksPerBit),
    .stopBit2(stopBit2),
    .stopBit2(stopBit2),
    .oddParity(oddParity),
    .oddParity(oddParity),
    .msbFirst(msbFirst),
    .msbFirst(msbFirst),
         .ackFlags(ackFlags),
         .ackFlags(ackFlags),
    .serialIn(rxSerialIn),
    .serialIn(rxSerialIn),
    .comClk(comClk),
    .comClk(comClk),
    .clk(clk),
    .clk(clk),
    .nReset(nReset)
    .nReset(nReset)
    );
    );
TxCore #(.DIVIDER_WIDTH(DIVIDER_WIDTH),
TxCore #(.DIVIDER_WIDTH(DIVIDER_WIDTH),
                        .CLOCK_PER_BIT_WIDTH(CLOCK_PER_BIT_WIDTH)
                        .CLOCK_PER_BIT_WIDTH(CLOCK_PER_BIT_WIDTH)
                )
                )
        txCore (
        txCore (
        .serialOut(serialOut),
        .serialOut(serialOut),
        .run(txRun),
        .run(txRun),
        .full(txFull),
        .full(txFull),
   .stopBits(txStopBits),
   .stopBits(txStopBits),
        .dataIn(txData),
        .dataIn(txData),
        .clkPerCycle(clkPerCycle),
        .clkPerCycle(clkPerCycle),
        .clocksPerBit(clocksPerBit),
        .clocksPerBit(clocksPerBit),
        .stopBit2(stopBit2),
        .stopBit2(stopBit2),
   .oddParity(oddParity),
   .oddParity(oddParity),
   .msbFirst(msbFirst),
   .msbFirst(msbFirst),
        .loadDataIn(loadDataIn),
        .loadDataIn(loadDataIn),
        .comClk(comClk),
        .comClk(comClk),
   .clk(clk),
   .clk(clk),
   .nReset(nReset)
   .nReset(nReset)
);
);
 
 
endmodule
endmodule
 
`default_nettype wire
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.