OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [ComRxDriverTasks.v] - Diff between revs 10 and 11

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 10 Rev 11
 
/*
 
Author: Sebastien Riou (acapola)
 
Creation date: 17:16:40 01/09/2011
 
 
 
$LastChangedDate: 2011-01-29 13:16:17 +0100 (Sat, 29 Jan 2011) $
 
$LastChangedBy: acapola $
 
$LastChangedRevision: 11 $
 
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/ComRxDriverTasks.v $
 
 
 
This file is under the BSD licence:
 
Copyright (c) 2011, Sebastien Riou
 
 
 
All rights reserved.
 
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
 
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
 
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
 
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
 
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
 
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
 
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
 
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
 
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
 
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
 
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
 
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
*/
 
`default_nettype none
//wire txRun,txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull;
//wire txRun,txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull;
//assign {txRun, txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull} = COM_statusOut;
//assign {txRun, txPending, rxRun, rxStartBit, isTx, overrunErrorFlag, frameErrorFlag, bufferFull} = COM_statusOut;
 
 
 
 
task privateTaskReceiveByteCore;
task privateTaskReceiveByteCore;
  begin
  begin
      wait(txPending==1'b0);//wait start of last tx if any
      wait(txPending==1'b0);//wait start of last tx if any
      wait(txRun==1'b0);//wait end of previous transmission if any
      wait(txRun==1'b0);//wait end of previous transmission if any
      wait(bufferFull==1'b1);//wait reception of a byte
      wait(bufferFull==1'b1);//wait reception of a byte
      @(posedge COM_clk);
      @(posedge COM_clk);
      nCsDataOut=0;
      nCsDataOut=0;
      @(posedge COM_clk);
      @(posedge COM_clk);
      nCsDataOut=1;
      nCsDataOut=1;
        end
        end
endtask
endtask
task receiveByte;
task receiveByte;
output reg [7:0] rxData;
output reg [7:0] rxData;
        begin
        begin
                privateTaskReceiveByteCore;
                privateTaskReceiveByteCore;
                rxData=dataOut;
                rxData=dataOut;
      @(posedge COM_clk);
      @(posedge COM_clk);
        end
        end
endtask
endtask
task receiveAndCheckByte;
task receiveAndCheckByte;
  input [7:0] data;
  input [7:0] data;
  begin
  begin
      privateTaskReceiveByteCore;
      privateTaskReceiveByteCore;
      if(data!=dataOut) begin
      if(data!=dataOut) begin
         COM_errorCnt=COM_errorCnt+1;
         COM_errorCnt=COM_errorCnt+1;
         $display("ERROR %d: Received %x instead of %x",COM_errorCnt, dataOut, data);
         $display("ERROR %d: Received %x instead of %x",COM_errorCnt, dataOut, data);
      end
      end
                @(posedge COM_clk);
                @(posedge COM_clk);
        end
        end
endtask
endtask
 
 
//Higher level tasks
//Higher level tasks
task receiveAndCheckHexBytes;
task receiveAndCheckHexBytes;
        input [16*257:0] bytesString;
        input [16*257:0] bytesString;
        integer i;
        integer i;
        reg [15:0] byteInHex;
        reg [15:0] byteInHex;
        reg [7:0] byteToCheck;
        reg [7:0] byteToCheck;
begin
begin
        for(i=16*256;i>=0;i=i-16) begin
        for(i=16*256;i>=0;i=i-16) begin
                byteInHex=bytesString[i+:16];
                byteInHex=bytesString[i+:16];
                if(16'h0!=byteInHex) begin
                if(16'h0!=byteInHex) begin
                        byteToCheck=hexString2Byte(byteInHex);
                        byteToCheck=hexString2Byte(byteInHex);
                        receiveAndCheckByte(byteToCheck);
                        receiveAndCheckByte(byteToCheck);
                end
                end
        end
        end
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.