OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [ComTxDriverTasks.v] - Diff between revs 12 and 14

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 12 Rev 14
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 17:16:40 01/09/2011
Creation date: 17:16:40 01/09/2011
 
 
$LastChangedDate: 2011-01-29 17:13:49 +0100 (Sat, 29 Jan 2011) $
$LastChangedDate: 2011-02-10 16:40:57 +0100 (Thu, 10 Feb 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 12 $
$LastChangedRevision: 14 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/ComTxDriverTasks.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/ComTxDriverTasks.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
All rights reserved.
All rights reserved.
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
The names of contributors may not be used to endorse or promote products derived from this software without specific prior written permission.
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
*/
`default_nettype none
`default_nettype none
`include "HexStringConversion.v"
`include "HexStringConversion.v"
 
 
//low level tasks
//low level tasks
task sendByte;
task sendByte;
  input [7:0] data;
  input [7:0] data;
  begin
  begin
      wait(bufferFull==1'b0);
      wait(bufferFull==1'b0);
      dataIn=data;
      dataIn=data;
      nWeDataIn=0;
      nWeDataIn=0;
      @(posedge COM_clk);
      @(posedge COM_clk);
      dataIn=8'hxx;
      dataIn=8'hxx;
      nWeDataIn=1;
      nWeDataIn=1;
      @(posedge COM_clk);
      @(posedge COM_clk);
        end
        end
endtask
endtask
task sendWord;
task sendWord;
  input [15:0] data;
  input [15:0] data;
  begin
  begin
      sendByte(data[15:8]);
      sendByte(data[15:8]);
                sendByte(data[7:0]);
                sendByte(data[7:0]);
        end
        end
endtask
endtask
 
 
//return when the stop bit of the last byte is starting
//return when the stop bit of the last byte is starting
task waitEndOfTx;
task waitEndOfTx;
  begin
  begin
      @(posedge COM_clk)
      @(posedge COM_clk)
                wait(txPending==0);
                wait(txPending==0);
                wait(isTx==0);
                wait(isTx==0);
        end
        end
endtask
endtask
 
 
 
 
//Higher level tasks
//Higher level tasks
task sendHexBytes;
task sendHexBytes;
        input [16*257:0] bytesString;
        input [16*257:0] bytesString;
        integer i;
        integer i;
        reg [15:0] byteInHex;
        reg [15:0] byteInHex;
        reg [7:0] byteToSend;
        reg [7:0] byteToSend;
begin
begin
        for(i=16*256;i>=0;i=i-16) begin
        i=16*257;
                byteInHex=bytesString[i+:16];
        getNextHexByte(bytesString, i, byteToSend, i);
                if(16'h0!=byteInHex) begin
        while(i!=-1) begin
                        byteToSend=hexString2Byte(byteInHex);
 
                        sendByte(byteToSend);
                        sendByte(byteToSend);
                end
                getNextHexByte(bytesString, i, byteToSend, i);
        end
        end
end
end
endtask
endtask
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.