OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

[/] [jart/] [branches/] [ver0branch/] [kComparisonCell.vhd] - Diff between revs 37 and 51

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 37 Rev 51
-- Author : Julian Andres Guarin Reyes.
-- Author : Julian Andres Guarin Reyes.
-- Project : JART, Just Another Ray Tracer.
-- Project : JART, Just Another Ray Tracer.
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
-- email : jguarin2002 at gmail.com, j.guarin at javeriana.edu.co
 
 
-- This code was entirely written by Julian Andres Guarin Reyes.
-- This code was entirely written by Julian Andres Guarin Reyes.
-- The following code is licensed under GNU Public License
-- The following code is licensed under GNU Public License
-- http://www.gnu.org/licenses/gpl-3.0.txt.
-- http://www.gnu.org/licenses/gpl-3.0.txt.
 
 
 -- This file is part of JART (Just Another Ray Tracer).
 -- This file is part of JART (Just Another Ray Tracer).
 
 
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
    -- JART (Just Another Ray Tracer) is free software: you can redistribute it and/or modify
    -- it under the terms of the GNU General Public License as published by
    -- it under the terms of the GNU General Public License as published by
    -- the Free Software Foundation, either version 3 of the License, or
    -- the Free Software Foundation, either version 3 of the License, or
    -- (at your option) any later version.
    -- (at your option) any later version.
 
 
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
    -- JART (Just Another Ray Tracer) is distributed in the hope that it will be useful,
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
    -- but WITHOUT ANY WARRANTY; without even the implied warranty of
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
    -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
    -- GNU General Public License for more details.
    -- GNU General Public License for more details.
 
 
    -- You should have received a copy of the GNU General Public License
    -- You should have received a copy of the GNU General Public License
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
    -- along with JART (Just Another Ray Tracer).  If not, see <http://www.gnu.org/licenses/>.
 
 
-- This is a discriminant proof cell.   
-- This is a discriminant proof cell.   
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use work.powerGrid.all;
use work.powerGrid.all;
 
 
 
 
entity kComparisonCell is
entity kComparisonCell is
        generic (
        generic (
                        RK      : string        := "yes";
                        RK      : string        := "yes";
                        W1      : integer       := 32
                        W1      : integer       := 32
        );
        );
        port (
        port (
                        clk,rst         : in std_logic;
                        clk,rst         : in std_logic;
                        scanOut         : in std_logic; -- This signals overrides the 'signed greater or equal than' internal function and allows vdinput to flow upwards.
                        scanOut         : in std_logic; -- This signals overrides the 'signed greater or equal than' internal function and allows vdinput to flow upwards.
                        nxtSphere       : in std_logic; -- Controls when the sphere goes to the next Row. 
                        nxtSphere       : in std_logic; -- Controls when the sphere goes to the next Row. 
                        pipeOn          : in std_logic; -- Enables / Disable the upwarding flow.
                        pipeOn          : in std_logic; -- Enables / Disable the upwarding flow.
                        kinput          : in std_logic_vector (W1-1 downto 0);
                        kinput          : in std_logic_vector (W1-1 downto 0);
                        koutputhor      : out std_logic_vector (W1-1 downto 0);
                        koutputhor      : out std_logic_vector (W1-1 downto 0);
                        koutputver      : out std_logic_vector (W1-1 downto 0);  -- K input  flowing to the next floor upstairs (but waits one clock). 
                        koutputver      : out std_logic_vector (W1-1 downto 0);  -- K input  flowing to the next floor upstairs (but waits one clock). 
                        vdinput         : in std_logic_vector (W1-1 downto 0);   -- V.D input.
                        vdinput         : in std_logic_vector (W1-1 downto 0);   -- V.D input.
                        vdoutput        : out std_logic_vector (W1-1 downto 0)   -- Selected dot product.
                        vdoutput        : out std_logic_vector (W1-1 downto 0)   -- Selected dot product.
 
 
 
 
        );
        );
 
 
end entity;
end entity;
 
 
 
 
architecture rtl of kComparisonCell is
architecture rtl of kComparisonCell is
 
 
        signal ssge32   : std_logic;    -- Signed "Greater or equal  than" signal.
        signal ssge32   : std_logic;    -- Signed "Greater or equal  than" signal.
        signal sena             : std_logic;    -- Enable internal signal
        signal sena             : std_logic;    -- Enable internal signal
        signal disc             : std_logic;
        signal disc             : std_logic;
begin
begin
 
 
        -- Enable resolution
        -- Enable resolution
        sena <= pipeOn or scanOut;
        sena <= pipeOn or scanOut;
        disc <= ssge32 or scanOut;
        disc <= ssge32 or scanOut;
 
 
        -- Enable
        -- Enable
        kcomp : sge32
        kcomp : sge32
        port map (
        port map (
                dataa   => vdinput,
                dataa   => vdinput,
                datab   => kinput,
                datab   => kinput,
                AgeB    => ssge32
                AgeB    => ssge32
        );
        );
 
 
 
 
 
 
        -- When ssge32 (greater or equal signal) is set then V.D > kte, therefore intersection is confirmed and  V.D is to be shifted to the distance comparison grid.
        -- When ssge32 (greater or equal signal) is set then V.D > kte, therefore intersection is confirmed and  V.D is to be shifted to the distance comparison grid.
        selector : process (rst,clk,sena)
        selector : process (rst,clk,sena)
        begin
        begin
 
 
                if rst='0' then
                if rst='0' then
 
 
                        -- At the beginning set the Maximum over Maximum distance.
                        -- At the beginning set the Maximum over Maximum distance.
                        vdoutput (W1-1)<= '0';
                        vdoutput (W1-1)<= '0';
                        vdoutput (W1-2 downto 0) <= (others => '1');
                        vdoutput (W1-2 downto 0) <= (others => '1');
                        koutputver <= (others => '0');
                        koutputver <= (others => '0');
 
 
                elsif rising_edge(clk) and sena='1' then
                elsif rising_edge(clk) and sena='1' then
 
 
                        -- Flowing Upwards of vinput.
                        -- Flowing Upwards of vinput.
                        koutputver <= kinput;
                        koutputver <= kinput;
 
 
                        if  disc='1' then -- If VD ids grater or equal than K .....
                        if  disc='1' then -- If VD ids grater or equal than K .....
                                vdoutput <= vdinput;
                                vdoutput <= vdinput;
                        else
                        else
                                vdoutput(W1-1) <= '0';
                                vdoutput(W1-1) <= '0';
                                vdoutput(W1-2 downto 0)<=( others => '1' );
                                vdoutput(W1-2 downto 0)<=( others => '1' );
                        end if;
                        end if;
 
 
                end if;
                end if;
 
 
        end process;
        end process;
 
 
 
 
 
 
 
 
        -- Behavioral : When nxtSphere is set, the Sphere and its K constant should go the the next row
        -- Behavioral : When nxtSphere is set, the Sphere and its K constant should go the the next row
        kHorizontalPipeStage : if RK = "yes" generate
        kHorizontalPipeStage : if RK = "yes" generate
 
 
                process (clk,rst,nxtSphere)
                process (clk,rst,nxtSphere)
                begin
                begin
 
 
                        if rst='0' then
                        if rst='0' then
 
 
                                koutputhor <= (others => '0');
                                koutputhor <= (others => '0');
 
 
                        elsif rising_edge(clk) and nxtSphere ='1' then
                        elsif rising_edge(clk) and nxtSphere ='1' then
 
 
                                koutputhor <= kinput;
                                koutputhor <= kinput;
 
 
                        end if;
                        end if;
 
 
                end process;
                end process;
 
 
        end generate kHorizontalPipeStage;
        end generate kHorizontalPipeStage;
 
 
 
 
end rtl;
end rtl;
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.